ICode9

精准搜索请尝试: 精确搜索
  • verilog 用组合逻辑记录一串数据的初始1 与最终1的位置_附代码仿真波形2021-08-18 11:00:07

    1.题目 输入 数据 data=1100_1010   输出first_one = 0010  last=1000 , 思路,计算first_one ,先将1100_1010 变成0000_0010 这就是优先仲裁器的设计思路,然后输出该bit的位置就可, 对于last_one ,将datain 高低位转换一下,再同样的用之前思路就可。   2.分析,此题用组合逻辑设计,对电

  • Verilog几个这样的写法-转自 宁河川2021-08-17 23:34:56

    数字电路设计主要就是,选择器、全加器、比较器,几个常用逻辑门,再加个D触发器,电路基本都能实现了。 组合逻辑+时序逻辑 组合逻辑用assign或always@(*)实现, 时序逻辑用always@(posedge clk or negedge rst_n) 有人说掌握Verilog 20%的语法就可以描述 90%以上的电路,说的对。 casez 1 alwa

  • 如何高效的编写Verilog HDL——进阶版-转自 宁河川2021-08-17 22:34:39

      博主之前写过一篇文章来谈论如何高效的编写Verlog HDL——菜鸟版,在其中主要强调了使用Notepad++来编写Verilog HDL语言的便捷性,为什么说是菜鸟版呢,因为对于新手来说,在还没有熟悉软件和硬件描述语言的时候,使用Notepad++不需要学习成本,几分钟就能用好,利用其中一些功能,便能相对快

  • (转载)如何使用integer型別? (IC Design) (Verilog)2021-08-17 21:31:07

    Abstract在C/C++或任何程式語言,integer是最常用的型別之一,但在Verilog大部分用的都是wire和reg,很少用到integer,該如何正確地使用integer呢?Introduction首先,integer和reg與wire最大的差別是,integer本身是個32位元的有號數,含正負。實務上,若在RTL中,integer建議只出現於for loop中,用

  • Verilog中的时间尺度与延迟2021-08-16 14:35:29

    在Verilog的建模中,时间尺度和延迟是非常重要的概念,设置好时间尺度和延迟,可以充分模拟逻辑电路发生的各种情况和事件发生的时间点,来评估数字IC设计的各种要求,达到充分评估和仿真的作用。注意延迟语句是不可综合的,只是用来数据建模或仿真。 1. 时间尺度 语法格式: `timescale 10ns

  • Verilog标识符与关键字2021-08-03 14:32:37

    Verilog标识符与关键字 1、标识符: Verilog HDL中的标识符是指用来声明数据,变量,端口,例化名等除关键字外的所有名称的组合。如:input a, 这里a就是一个标识符,用来代表一个输入端口的名称。 Verilog HDL中的标识符(identifier)可以是任意一组字母、数字、$符号和_(下划线)符号的组合,但

  • 计算机原理与结构 实验1 《计算机硬件描述语言》2021-08-02 12:30:59

    计算机原理与结构 实验1 《计算机硬件描述语言》 一、实验目的 1、了解:System Verilog如何构建计算机硬件; 2、了解:Quartus II中如何设置Modelsim工具的使用及联合仿真; 3、 掌握:Quartus II 的设计方案输入; 4、掌握:Modelsim对信号的仿真调试。 二、实验内容 某乘法器用 System

  • HDLBits Verilog(1)——Basic Gates2021-08-01 11:32:50

    目录----- 44. Wire -----Problem StatementAnswer----- 45. GND -----Problem StatementAnswer----- 46. NOR -----Problem StatementAnswer----- 47. Another gate -----Problem StatementAnswer----- 48. Two gates -----Problem StatementAnswer----- 49. More logic gates

  • Verilog中参数传递与参数定义2021-07-31 13:00:34

    1、符号常的定义 用parameter来定义一个标志符代表一个常量,称作符号常量,他可以提高程序的可读性和可维护性。 parameter是参数型数据的关键字,在每一个赋值语句的右边都必须是一个常数表达式。即该表达式只能包含数字或先前已经定义的参数。 parameter msb=7; //定义参数msb=7

  • Verilog 语法中关于模块例化的方法2021-07-28 12:05:57

    Verilog 语法中,关于模块例化有两种方法,一种是位置相关, 另外一种是名称相关 verilog 语言中形成一个模块: module  module_name( input  a, input  b, output c, input [31:0] d, output [7:0] e, ..... inout x);verilog  语法...endmodule   verilog

  • FPGA 双向口的使用及Verilog实现2021-07-28 12:05:21

    FPGA的双向口在FPGA的设计应用中使用及其广泛,如I2C接口中的SDA,3线制的SPI接口中的数据线,传统控制总线中的数据总线,以及内存的访问DDR3/DDR4的数据总线等都是双向访问的。双向访问涉及到的概念比较多,如三态的概念,高阻的概念,输入、输出引脚合并,输入输出分时复用等概念,因此初学者往往

  • IIC总线的原理与Verilog实现2021-07-27 21:02:26

    IIC总线的原理与Verilog实现 1、 软件平台与硬件平台2、 原理介绍2.1 IIC总线的特点:2.2 IIC总线协议详解:2.2.1 IIC主机往从机里面写入数据的步骤2.2.2 IIC主机从从机里面读出数据的步骤2.2.3 通信状态空闲状态起始状态和结束状态有效的数据位传输应答信号与非应答信号 2.2.

  • 使用Sublime开发Verilog2021-07-27 19:59:31

    插件安装   Sublime开发Verilog的优势在此就不赘述了,网上有很多讲解。   个人不建议在Sublime的Package Control中直接安装名为“Verilog"的插件,原因是找不到这个插件相关的模板文件,也就无法修改(比如always块的模板,用Everything搜索“always”后没有相关结果)。直接从Gith

  • FSM有限状态机(三段式)-Verilog实现2021-07-26 21:33:23

    一. 状态机理论基础 状态机基本概念: 状态机类型: 一、二、三段式状态机各自的优缺点: 状态机质量指标 二.Verilog实现状态机例子 2.1FSM实现实现11010110序列输出 状态机设计 module FSM_gener( input clk, input rst_n, output reg data_current ); //变量分

  • 计数器(Verilog)2021-07-26 15:01:27

    简介 计数器的用处很多,比如在设计分频器时,需要用到计数器对每个时钟边沿进行计数,当记到某个数时,时钟翻转。同样在设计FIFO时,读写指针也需要没读或写一次,就需要讲计数器加1。下面我介绍一些简单的8位计数器的Verilog设计,仿真结果在文末。 8位计数器 计数器的设计如下:在每个时

  • 数字asic流程实验(三) Verilog编写&前仿真2021-07-26 01:03:00

    数字asic流程实验(三) Verilog编写&前仿真 1.Verilog编写 本次实验要实现的是一个三级抽取CIC滤波器,抽取系数为64。回顾上一章节中的CIC滤波器结构,可以发现其硬件实现是非常简单的,积分器的部分通过加法器与D触发器即可实现,降采样通过分频器实现,梳状器的部分则通过减法器和触发器实现

  • 新的起点2021-07-25 23:05:47

    一、昨天、今天、明天 人在不同的阶段有对应的经历,影响自己的想法,选择。 对从前的自己,正视一下,笑一笑,有所感悟,也感觉还行。 每个人都有过遗憾,回头看一眼从前走过的路,直的,弯的,心想,这就是我自己。   重新写博客的想法,是来自bilibili某up主的启发。 我开始重新写博客,不是想得到什么,

  • 在SublimeText3中搭建Verilog开发环境记录(二)2021-07-22 09:01:15

    接上文 SublimeText3中搭建Verilog开发环境记录(一) 在实现了基础功能后,继续添加插件,让功能更为完善: 快速创建代码模块(snippet) Ctrl+鼠标左键实现模块跳转 通过iVerilog实时检查语法错误 一、快速创建代码模块 该功能由插件SnippetMaker实现 插件安装 按照SublimeText3中搭建Ver

  • (01)System Verilog验证理论2021-07-20 21:33:05

    (01)System Verilog验证理论 1.1 目录 1)目录 2)FPGA简介 3)System Verilog简介 4)System Verilog理论知识 1、定向测试 2、随机测试 3、直接测试 4、超时机制 5、功能覆盖率 6、代码覆盖率 7、FPGA验证 8、FPGA测试

  • IC验证面试之手撕verilog常用的电路2021-07-18 17:33:57

    总结了面试常见的一些需要手撕代码的电路,和一些高频被问到的相关电路: 其他精彩:IC验证面试之数电、IC验证面试之UVM、IC验证面试之断言; 1. 分频电路 2. 序列检测器 3. 伪随机码 4. 时钟切换无毛刺电路 5. 同步FIFO 6. 异步FIFO 7. 数据累加 8. FIR滤波器 9. 异步复位、同步

  • verilog有符号数加减法----正负1282021-07-16 13:29:45

    目录 1. 加运算,+128或者+(-128) 1)输入信号为8位有符号数  2)输入信号为9位有符号数  2. 减法运算,-128或者-(-128) 1)输入信号为8位有符号数 2)输入信号为9位有符号数          我们都知道对于verilog有符号数的加法减法,计算机是以补码进行的,例如A-B=A[补]+(-B)[补],结果也是

  • 【入门学习四】基于 FPGA 使用 Verilog 实现串口回传通信代码及原理讲解2021-07-15 22:59:29

    目录 一、相关知识二、模块设计三、代码设计3.1 串口接收模块3.2 控制模块3.3 串口发送模块 四、FIFO 核引用五、管脚定义及结果展示 上一篇博文:【入门学习三】基于 FPGA 使用 Verilog 实现按键状态机代码及原理详解 本文内容:从 PC 上位机通过 COM 发送数据给 FPGA ,FPGA

  • verilog base2021-07-15 16:03:42

    FPGA开发中一共就两个类型 wire, reg input一定都是线型的 设定位宽 1位位宽可以不设定,默认就是一位的。 output可以是reg型 也可以是wire型   两个可综合语句 assign , always语句 reg在always语句下编程 wire在assign语句下编程   testbench 测试激励模块 新建一个sim仿真文件

  • verilog中参数传递与参数定义中#的作用2021-07-13 15:04:19

    一、module内部有效的定义 用parameter来定义一个标志符代表一个常量,称作符号常量,他可以提高程序的可读性和可维护性。parameter是参数型数据的关键字,在每一个赋值语句的右边都必须是一个常数表达式。即该表达式只能包含数字或先前已经定义的参数。parameter     msb=7;   

  • FPGA语法篇2021-07-13 13:31:06

    复杂的电路设计通常使用自顶向下的设计方法,设计过程中的不同阶段需要不同的设计规格。比如架构设计阶段,需要模块框图或算法状态机(ASM)图表这方面的设计说明。一个框图或算法的实现与寄存器(reg)和连线(wire)息息相关。Verilog便具有将ASM图表和电路框图用计算机语言表达的能力,本文将讲

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有