ICode9

精准搜索请尝试: 精确搜索
  • Verilog HDL02——FPGA 电路开发入门实验2021-07-12 12:33:46

    实验一、项目创建、编译和下载 实验二、FPGA译码器组合逻辑 因为破解不充分无法打开实验三signaltap功能,先完成了实验一实验二,提前写了博客 链接:(1条消息) Verilog HDL01——FPGA电路开发实验初步使用_钶的博客-CSDN博客 实验三、计数器波形仿真和signalTap 组建一个计数器模块,

  • 3.1 Verilog模块结构2021-07-10 20:59:03

    目录 1、verilog模块组成 2、要点总结 1、verilog模块组成 Verilog描述电路时的基本单元是模块,一个模块主要由两部分组成,包括:端口+逻辑功能 端口: 端口部分对该模块的输入输出接口进行描述,侧重于模块外部接口; 逻辑功能: 逻辑功能部分对模块的具体功能进行描述,反映了模块输入如何

  • Datapath综合代码规范(Verilog)2021-07-10 14:01:07

    一、一般准则 1、有符号数运算 利用类型“signed”完成有符号数运算,而不是用无符号数模拟有符号数运算。这样可以得到更好的QoR。在资源报告中检查操作数的类型和大小。 2、符号/零扩展 尽量不要手动扩展。verilog利用signed/unsigned会自动完成扩展。这样代码可读性高,且工具在

  • 【数字系统】组合逻辑电路设计:4-2线优先编码器/2-4线译码器/比较器/全加器 Quartus II 环境/Verilog HDL语言/编程+仿真+开发板/FPGA/CPLD/EDA2021-07-09 22:29:30

    一、 实验要求 1. 编码/译码器的设计与实现;比较器的设计与实现;全加器的设计与实现; 2. 在Quartus II 环境下,运用Verilog HDL 语言进行编程开发,并完成对电路工作情况的仿真模拟; 3. 完成配置程序的下载,并在开发板上对程序进行功能验证。 二、 实验过程步骤 1、设计模块1:四线—二线

  • 【Sublime】Ubuntu下为 Sublime 配置 Verilog 语法检查插件2021-07-06 20:01:20

    文章目录 一、SublimeLinter 插件介绍二、SublimeLinter 在Linux下的配置安装配套的 iverilog配置插件 一、SublimeLinter 插件介绍 SublimeLinter 在我之前的一篇博客中有过介绍,并提供了语法检查插件 SublimeLinter-contrib-iverilog 在 Windows 下的安装方法。链接

  • verilog语法(三)信号类型2021-07-04 21:02:34

    Verilog HDL 的信号类型有很多种,主要包括两种数据类型:线网类型(net type) 和寄存器类型(reg type)。在进行工程设计的过程中也只会使用到这两个类型的信号。 1 信号位宽 定义信号类型的同时,必须定义好信号的位宽。默认信号的位宽是 1 位,当信号的位宽为 1 时可不表述,如定义位宽为 1

  • verilog语法(二)模块2021-07-04 20:31:53

    1 模块介绍 模块(module)是 Verilog 的基本描述单位,是用于描述某个设计的功能或结构及与其他模块通信的外部端口。 模块在概念上可等同一个器件,就如调用通用器件(与门、三态门等)或通用宏单元(计数器、ALU、CPU)等。因此,一个模块可在另一个模块中调用,一个电路设计可由多个模块组合而成

  • gvim for verilog简易配置2021-07-02 00:00:00

    文章目录 前言 一、gvim的主题和字体资源 二、gvim编辑器基本配置 三、gvim针对verilog配置 总结 前言 分别介绍了gvim的主题和字体资源推荐,gvim编辑器基本配置和针对verilog的配置。 以下为正文 一、gvim的主题和字体资源 1、主题(color scheme)资源         在gvim

  • Verilog HDL基础系列一2021-07-01 22:03:32

    文章目录 前言 本系列主要介绍verilog HDL基础模块,只要夯实基础,以后FPGA的深入学习以及相应的项目算法开发才会更加顺利。此系列适合有一定数电基础或者初步了解Verilog HDL结构的同学。本系列会不定时更新(科研狗平时事情多)。 一、Verilog是什么? Verilog HDL (Hardware Descrip

  • 整数除法verilog代码支持pipeline2021-06-30 23:30:02

    在sgbm算法中用到,算是经过考验过的, 可以到我的github页面下载modelsim仿真工程 http://github.com/tishi43/div module div_by_shift_sum #(     parameter WidthD0=64,     parameter WidthD1=32,     parameter WidthQ=WidthD0+WidthD1) (     input

  • 上课教材数字逻辑基础与Verilog设计 P191 图6.352021-06-29 18:57:28

    一、实验要求:用Modlsim进行设计仿真实验 二、实验内容 1、实验书本及实验题目:上课教材数字逻辑基础与Verilog设计 P191 图6.35 2、实验主要内容:设计一个简单的时序电路。 三、相关图 电路图 状态表图 系统框图 状态图 四、实验工具 pc机、moelsim软件等 五、实验视频 htt

  • 线性寄存器的仿真图观察计数序列2021-06-28 14:57:58

    一.打开quartusII,建立一个工程(注意,此文件名字一定要与module的名称一样),并且建立一个verilog文件来装载代码。如图所示: 二.输入书上的verilog代码,保存并且运行,若是有错误就直接改正,没有错误就可以继续下一步,如下图: 三.与modulesim进行关联并且运行,如下图: 四.得到仿真图,然

  • FPGA的设计艺术(14)使用函数和任务提升逻辑的可重用性2021-06-27 21:05:50

    前言 提到函数与任务,很多已从业的逻辑设计人员甚至都会对此陌生,听过是听过,但是很少用过。 与大多数编程语言一样,我们应该尝试使尽可能多的Verilog代码可重用。这使我们能够减少将来项目的开发时间,因为我们可以更轻松地将代码从一种设计移植到另一种设计。 人是懒惰的,觉得麻烦

  • 2021-06-262021-06-26 23:31:24

    1实验目标 实验二:Verilog HDL(第二版)数字系统设计及仿真十二章选题6 2实验步骤 第一步 建立好需要的源代码和测试代码 第二步 编译源代码 第三步 编辑Assignments 接下来 3实验截图 第一个方法 第二个方法 4实验代码(略) Verilog HDL(第二版)数字系统设计及仿真十二章选题6提

  • EDA技术实用教程 | 复习二 | Verilog基本语法2021-06-21 11:57:27

    一、reg型 reg主要用于定义特定类型的变量,即寄存器变量或寄存器型数据类型的变量。 寄存器变量的定义格式如下: reg 变量名1,变量名2,...; reg [msb : lsb] 变量名1,变量名2, ...; 例如:定义输出端口seg一个寄存器数据类型的7位总线 output reg [6 : 0] seg; 二、wire型 对于模块

  • verilog中的基本数据类型2021-06-19 10:59:37

    Verilog 最常用的 2 种数据类型就是线网(wire)与寄存器(reg),其余类型可以理解为这两种数据类型的扩展或辅助。 一、线网(wire) wire 类型表示硬件单元之间的物理连线,由其连接的器件输出端连续驱动。如果没有驱动元件连接到 wire 型变量,缺省值一般为 “Z”。举例如下: wire interru

  • 数字逻辑基础与Verilog设计(原书第3版)-期末实验2021-06-19 10:59:19

    实验一: 教材书《数字逻辑基础与Verilog设计》P112.图4.28 4选1多路选择器的另一种描述(可以采用If-else语句描述4选1多路选择器) 本例定义了一个4位向量w而不是单一信号w0,w1,w2以及w3;并且s的4个不同的值定义为十进制数而不是二进制数。 实验代码: module mux4to1(w,s,f); inpu

  • 【Chips】如何启动第一个Quartus/Vivado下的Verilog仿真过程2021-06-16 21:03:13

    1 如何让Quartus和Modelsim实现联动仿真 Quartus中新建一个工程,注意,Simulator设置为Modelsim。如果你的工程已经建好了,可以通过【Assinment -> setting -> EDA Tool Settings】去修改simulator。路径设置为Modelsim的win64文件夹。新建一个Verilog文件,复制一份简单的代码。编

  • 《Verilog数字系统设计教程 (第3版)夏宇闻 编著》学习笔记2021-06-16 08:35:25

    第1章 Verilog的基本知识 1.1硬件描述语言HDL 硬件描述语言(HDL,hardware description language)是一种用形式化方法来描述数字电路和系统的语言。数字电路系统的设计者利用这种语言可以从上层到下层(从抽象到具体)逐层描述自己的设计思想,用一系列分层次的模块来表示极其复杂的数

  • 基于Verilog的简易计算器2021-06-15 19:34:23

    1.任务 设计一个四位数简易计算器,数字键由矩阵键盘输入,显示由用四位数码管输出,能够正确实现+、-、*、/ 四种运算(不考虑小数的运算)。 2.系统框图 主要由五个模块组成,分别是矩阵键盘扫描模块、数码管显示模块、二进制转BCD模块、运算模块,框图如下图所示: 3.模块化设计 3.1顶层

  • 24LC64_IIC_verilog控制读写2021-06-12 19:01:25

    PS:EEPROM单次写时间比较长,24LC64要5ms,才能进行读操作,否则不会响应地址,示波器观察的。 1‘给出单次写仿真图1;(sda上面的蓝色是主从三态门切换时候,未知态,实测波形,也会有小尖峰出现) 2’给出单次读仿真图2; 3‘给出main代码;(用quartus的issp观察数据的) 4’给出testbench代码;(添加了24

  • FPGA学习-2:开发板及Verilog介绍2021-06-12 14:04:47

    我们将使用sipeed公司设计的tang permier开发板进行开发学习 这款开发板使用了国产EG4S20芯片 拥有2万多个逻辑门单元 价格仅100多,极具性价比 可以在上面跑riscv开源架构cpu核心 完全够用 IDE我们使用官方的安路TD软件 详细安装过程可去sipeed文档上查看 与开发程序类似 开发FPG

  • FPGA学习-3:环境搭建2021-06-12 14:04:18

    环境配置: 安路FPGA的环境比较好搭建 直接去sipeed下载站中即可下载 如果出现没有license的情况 参加这篇帖子 新建工程: 这是安路TD开发软件的界面 新建项目点击左上角菜单的 “project” 再点击 "New Project" 选择好芯片后,就可点击“OK”建立工程了 接下来右键Hierarchy,选

  • verilog 中! 与 ~ 的区别2021-06-08 23:34:26

    “!”表示逻辑取反,“~”表示按位取反 当面对位宽为1时:两个操作符的作用相同。 当位宽不为1时: “~”会将变量的各个位依次取反如:a[3:0] ={1,0,0,1} , ~a ={0,1,1,0}; “!”会将变量作为一个值去做处理,非0为1:a[3:0] ={1,0,0,1} ,a=5,!a=0。a[3:0] ={0,0,0,0} ,a=0,!a=1 ——————

  • 如何写出易于维护的Verilog代码?2021-06-05 23:02:34

    众所周知,用于FPGA开发的硬件描述语言(HDL)主要有两种:Verilog和VHDL,VHDL的出现时间要比Verilog早,Verilog由于其简单的语法,和C语言的相似性,目前被各大公司广泛使用。 其实我大学时学习的是VHDL语言,后来由于公司都是使用的Verilog,又重新学习了Verilog,好在有C语言基础,Verilog很快就

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有