ICode9

精准搜索请尝试: 精确搜索
  • 数字电路技术与设计实验2021-06-18 20:02:42

    数电实验 数字电路技术与设计实验vhdl设计 组合电路设计 4选1多路选择器 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY X41 IS PORT( S0, S1, A, B, C, D : IN STD_LOGIC; Y : OUT STD_LOGIC); END X

  • AXI STREAM协议学习2021-06-13 22:33:54

    axi stream协议的具体内容可参见从零学习AXI4总线(二):AXI4-Stream 介绍和AXI4-Stream协议总结 以下是一个简单的HDL示例,完成的功能是master向slave写入512个数据(1,2,3,…,511,512) 主机代码: `timescale 1ns / 1ps // // Company: // Engineer: // // Create Date: 2021/

  • FPGA学习笔记05-VHDL语法基础-类属语句(GENERIC)2021-05-26 11:34:47

    一、概述   类属参量是一种端口界面常数,常以一种说明的形式放在实体或块结构体前的说明部分。   类属为所说明的环境提供了一种静态信息通道。   类属与常数不同,常数只能从设计实体的内部得到赋值,且不能再改变,而类属的值可以由设计实体外部提供。   因此,设计者可以从外面

  • 2021-05-232021-05-23 11:59:14

    library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity multiple_4 is port (a,b:in std_logic_vector(3 downto 0); y:out std_logic_vector(7 downto 0)); end multiple_4; architecture behave of multiple_4 is signal s0,s1,s2,s

  • FPGA学习笔记04-VHDL语法基础-PROCEDURE&FUNCTION2021-05-18 14:34:30

    1.  PROCEDURE(过程语句)和FUNCTION(函数语句)的区别   PROCEDURE FUNCTION 返回值 多个返回值、不提供返回值 单个返回值 参数 输入、输出、双向参数 输入参数(信号,常量) 结构 过程首、过程体 函数首、函数体 位置 程序包、结构体、进程 程序包、结构体、进程 相似 顺

  • 一个关于双目运算符的测试2021-05-15 11:35:54

    编译环境 x86_64-w64-mingw32 gcc version 8.1.0 操作系统 window 10 X64 code #include <stdio.h> #define uint8_t unsigned char #define uint32_t unsigned int int main() { uint8_t logic_1 = 0; uint8_t logic_2 = 0; uint8_t logic_3 = 0; for(logic_1

  • 【随感杂想】Cache优化2021-04-19 13:03:22

    // Copyright 2018 ETH Zurich, University of Bologna and Greenwaves Technologies. // Copyright and related rights are licensed under the Solderpad Hardware // License, Version 0.51 (the "License"); you may not use this file except in // complianc

  • Mybatitas-plus实现逻辑删除2021-04-17 17:01:22

    1、在application.yml中写入配置 #逻辑删除配置 logic-delete-value: 1 logic-not-delete-value: 0 2、在Entity中添加注解@TableLogic /** * 是否删除:0:否,1:是 */ @TableLogic @JsonIgnore private Integer isDelete; 如此配置后,使用BaseMapper进行delete、select等方法时系

  • mybtis-plus 实现逻辑删除和逻辑删除查询方法2021-04-11 16:29:48

    第一步现在yml中配置一下文件 mybatis-plus: global-config: db-config: logic-delete-value: -1 # 逻辑已删除值(默认为 1) logic-not-delete-value: 1 # 逻辑未删除值(默认为 0) 第二步 第三步 第四步逻辑删除方法的实现 第五步查

  • sv部分总结2021-04-06 22:31:14

    1、相比verilog,sv新引入了logic数据类型。sv侧重验证,节省考虑verilog其他细分变量的精力。 2、bit类型仅表示0和1,为二值逻辑。logic类型可以表示0、1、z、x,为四值逻辑。 3、四值逻辑类型:integer、logic、reg、net-type。二值逻辑类型:byte、shortint、int、longint、bit。 4、有

  • js实现AOP,面向切面编程2021-03-31 20:04:40

    js实现AOP,面向切面编程 面向切面编程(AOP)是java常用编程思想,它的作用是在某个函数上进行切割,可以在函数执行前/中/后添加其他逻辑代码。 AOP编程的好处是遵循单一原则,不会修改原函数内部的代码就可以改变原函数的逻辑。 js中实现AOP使用protoType原型链,例如下面代码 /** * 切入

  • 读书笔记|《修改软件的艺术》2021-03-13 18:33:58

    最近读了《修改软件的艺术》一书,这本书讲述了作者对关于如何写比较容易维护代码的的经验,并把他们整理成了 9 条实践方式。 它们分别是 实践1:在问如何做之前先问做什么、为什么做、给谁做实践2:小批次构建实践3:持续集成实践4:协作实践5:编写整洁的代码实践6:测试先行实践7:用测试

  • AXI总线实验2021-03-10 14:31:46

    基本功能:测试平台向AXI Lite从机写入写起始地址,读起始地址以及开始信号,AXI Full主机检测到开始信号之后,根据配置的起始地址进行测试,AXI Full主机根据配置的读起始地址,从测试平台突发读取32个数据,然后将它们加1,再按照配置的写起始地址,将其突发写入测试平台。 具体的代码如下: A

  • 【知识图谱】一、知识表示与知识建模2021-02-21 17:01:20

    一、早期知识表示简介 知识表示的重要性 知识是智能的基础 机器可以获得知识机器可以运用知识 符合计算机要求的知识模式 计算机能存储、处理的知识表示模式数据结构(List、Table、Tree、Graph、etc.) 知识的特性 相对正确性 一定条件下某种环境中…… 不确定性 存在“中间

  • EDA与VHDL题目——七人表决器2021-02-11 14:01:10

    EDA与VHDL题目——七人表决器 代码 LIBRARY IEEE; --七人表决器 USE IEEE.STD_LOGIC_1164.ALL; ENTITY VOTE IS PORT(a,b,c,d,e,f,g:IN STD_LOGIC; -- 七输入 o:OUT STD_LOGIC); -- 一输出 END ENTITY VOTE; ARC

  • EDA与VHDL题目——数字钟2021-02-11 13:59:15

    EDA与VHDL题目——数字钟 代码 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity clock is port ( clk : in std_logic; reset : in std_logic; reset2 : in std_logic; xianshi : out std_logic_vector

  • VHDL学习----串行数据检测2020-12-27 16:57:32

    串行数据检测,就是输入一段数据,如有检测到特定的比特流,则输出1表示检测到特定序列。比如下文的示例代码,就是当检测到连续3个或以上的1时,输出端输出1。采用状态机的方式设计较为简单,当输入为1时,跳转到下一个状态。当在s2或s3状态时若输入仍为1,则表示出现连续3个或以上的1比特流

  • 设计、实现八位二进制数全加器以及八位无符号二进制数乘法器2020-12-15 21:34:28

    实验题目 设计、实现八位二进制数全加器 设计思路 总体设计为三输入,两输出。具体:实体声明部分描述电路模块的端口,即指定输入输出口及其大小。设计具有8位位宽的矢量或总线端口信号a,b以及标准一位输入的cin。然后在结构体描述部分对电路模块的功能进行描述,指明整个电路时如何

  • VGA显示实验代码2020-12-12 18:03:35

    这次的实验现象如下:     需要自己做一个VGA控制信号模块,并且显示在电脑屏幕上面,有问题的可以私聊,我们这个实验还没有做完,后续会继续更新,不希望有些人直接把代码拷走,还是要自己动脑子想想,25MHZ信号是通过直接设置锁相环生成的,我没有写具体的方法,你们的书上应该也有,拜拜吃饭去

  • 【Jmeter】逻辑控制器(Logic Controller)2020-11-23 17:32:04

    一、Jmeter官网对逻辑控制器的解释是:“Logic Controllers determine the order in which Samplers are processed.”。意思是说,逻辑控制器可以控制采样器(samplers)的执行顺序。   二、JMeter中的Logic Controller分为两类:   a) 控制测试计划执行过程中节点的逻辑执行顺序,如:Lo

  • System 12-1: Digital Logic2020-11-13 23:00:40

                                                                             

  • FPGA开发基础-------CLock Jitter 和 Clock Skew(1)2020-05-10 12:53:16

      什么是Clock Jitter和Clock Skew,这两者有什么区别? Clock Jitter(时钟抖动):是指芯片在某个给定的时间点上时钟周期发生暂时性变化,从而导致时钟在不同的周期上加长或者变短。换句话来说就是指,两个时钟周期之间存在差值,这个误差是在时钟内部产生的,与晶振或者内部的PLL有关。与时钟

  • 数字系统实验:编码电压转换2020-03-12 15:41:00

    实验代码: library ieee; use ieee.std_logic_1164.all; entity junmo is port(ina:in std_logic_vector(7 downto 0); inb:in std_logic; oua:out std_logic_vector(7 downto 0); oub:out std_logic); end junmo; architecture rl of junmo is begin oua<=ina; oub<=inb

  • 使用PHP / MySQL调度脚本-逻辑帮助2019-12-10 14:15:18

    我正在寻找一个小的脚本,用户可以在其中插入他们的“时间表”.但是,我需要一些帮助来确定如何创建数据库结构以及如何在数据库中输入事件的“时间”的逻辑. 但是,需要注意的一件事是,当用户输入其“计划”时,他们将不会输入确切的日期.相反,他们将输入“星期几”.有点像“定期”约

  • Paper: A novel method for forecasting time series based on fuzzy logic and visibility graph2019-11-28 18:57:07

    Problem Forecasting time series.  Other methods' drawback: even though existing methods (exponential smoothing, auto-regression and moving average-MA, ARIMA, maximum entropy method, modified grey model) have a good performance, they are not accurate

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有