ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

2021-05-23

2021-05-23 11:59:14  阅读:203  来源: 互联网

标签:std 05 signal 23 downto vector 2021 logic ieee


library  ieee;	
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity multiple_4 is
	port (a,b:in std_logic_vector(3 downto 0);
			y:out std_logic_vector(7 downto 0));
end multiple_4;

architecture behave of multiple_4 is
signal s0,s1,s2,s3:std_logic_vector(3 downto 0);
begin
	process(a,b,s0,s1,s2,s3)
	begin
		if b(0)='0'then s0<="0000";
		else s0<=a;
		end if;
		if b(1)='0'then s1<="0000";
		else s1<=a;
		end if;
		if b(2)='0'then s2<="0000";
		else s2<=a;
		end if;
		if b(3)='0'then s3<="0000";
		else s3<=a;
		end if;
	y<=("0000"&s0)+("000"&s1&'0')+("00"&s2&"00")+('0'&s3&"000");
	end process;
end behave;
library  ieee;	
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity multip_4 is
	port (a,b:in std_logic_vector(3 downto 0);
			y:out std_logic_vector(7 downto 0));
end multip_4;

architecture behave of multip_4 is
signal s1:std_logic_vector(3 downto 0);
signal s2:std_logic_vector(4 downto 0);
signal s3:std_logic_vector(5 downto 0);
signal s4:std_logic_vector(6 downto 0);
begin
	s1<=a when b(0)='1' else "0000";
	s2<=(a&'0')when b(1)='1'else"00000";
	s3<=(a&"00")when b(2)='1'else"000000";
	s4<=(a&"000")when b(3)='1'else"0000000";
	y<=s1+s2+s3+('0'&s4);
end behave;

 

标签:std,05,signal,23,downto,vector,2021,logic,ieee
来源: https://blog.csdn.net/weixin_46151516/article/details/117191860

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有