ICode9

精准搜索请尝试: 精确搜索
  • HDLBits(4) 8.262022-08-26 11:30:31

    2 Verilog语言 2.2 向量 2.2.8 复制操作符 将多个重复向量连接在一起时,使用复制操作符,语法为:{ 重复次数 { 向量 } },eg: {5{1'b1}} // 5'b11111 or 5'd31 or 5'h1f {2{a,b,c}} // {a,b,c,a,b,c} {3'd5, {2{3'd6}}} // 9'b101_110_110.replication for 3&

  • HDLBits答案——Circuits2022-08-22 16:01:23

    1 Combinational Logic 1.1 Basic Gates 1.1.1 Exams/m2014 q4h module top_module ( input in, output out); assign out = in; endmodule 1.1.2 Exams/m2014 q4i module top_module ( output out); assign out = 1'b0; endmodule 1.1.3 Exams/m2014

  • HDLBits(一)(边刷边学)2022-08-20 19:02:57

    1 开始 1.1 输出逻辑1 直接assign one = 1'b1 ,给output one赋值1'b1,表示1bit数值,b=二进制,o=八进制,d=十进制,h=十六进制 1.2 输出逻辑0  可以直接提交,因为在Quartus中,输出端口默认赋值为0,但使用默认赋值使危险的,不推荐的 或者 assign zero = 1'b0; 2.Verilog语言 2.1 基础 2.1.1 简

  • HDLBits答案——Verilog Language2022-08-16 20:00:09

    Verilog Language 1 Basics 1.1 Wire module top_module( input in, output out ); assign out = in; endmodule 1.2 Wire4 module top_module( input a,b,c, output w,x,y,z ); assign w = a; assign x = b; assign y = b; assign z = c

  • HDLBits答案——Getting started2022-08-16 19:30:27

    Getting started 1 Step one module top_module( output one ); // Insert your code here assign one = 1'b1; endmodule 2 Zero module top_module( output zero );// Module body starts after semicolon assign zero = 0; endmodule

  • hdlbits day112022-05-21 22:32:43

    5.17、Fsm serial 在许多(较旧的)串行通信协议中,每个数据字节都与一个起始位和一个停止位一起发送,以帮助接收器从位流中划定字节。一种常见的方案是使用 1 个起始位 (0)、8 个数据位和 1 个停止位 (1)。当没有传输任何内容(空闲)时,该线路也处于逻辑 1。 设计一个有限状态机,当给定比特

  • HDLbits day102022-05-20 20:03:16

    5.10Lemmings1 在旅鼠的 2D 世界中,旅鼠可以处于以下两种状态之一:向左行走或向右行走。如果遇到障碍物,它会切换方向。特别是,如果 Lemming 撞到左边,它会向右走。如果它撞到右边,它会向左走。如果它同时在两侧碰撞,它仍然会切换方向。 实现一个具有两个状态、两个输入和一个输出的摩尔

  • HDLbits Sequential Logic3.2.1——Latches and Filp-Flops2022-04-19 19:31:58

    1、AD 触发器是一种在时钟信号的(通常)上升沿存储位并定期更新的电路。当使用时钟控制的always块时,逻辑合成器会创建 D 触发器。AD触发器是“组合逻辑块后接触发器”的最简单形式,其中组合逻辑部分只是一条线。 创建一个 D 触发器。 module top_module ( input clk, // Cloc

  • HDLbits Combinational Logic3.1.2——Multiplexers,Arithmetic Circuits and Karnaugh Map to Circuit2022-04-14 16:34:47

    二、Multiplexers 1、创建一位宽的 2 对 1 多路复用器。当 sel=0 时,选择 a。当 sel=1 时,选择 b。 module top_module( input a, b, sel, output out ); assign out=sel?b:a; endmodule 2、创建一个 100 位宽的 2 对 1 多路复用器。当 sel=0 时,选择 a。当 sel=1

  • HDLBits(7)——30-342022-01-12 19:01:23

    第三十题:If statement(Always if) if语句通常对应一个二选一多路复用器,即如下图:    第三十一题: If statement latches(Always if2) 如何避免引入锁存器: 输出保持不变,这就意味着电路需要记住当前状态,从而产生锁存器。 组合逻辑(比如逻辑门)不能记住任何状态。 第三十二题:Casest

  • HDLBits(2)——5.6.7.8.92022-01-11 21:02:45

    第五题,当被驱动信号处于未定义状态时,信号值驱动为0。 注意按位与(&)和逻辑与(&&)的区别。   第七题:XNorgate同或门,即异或门的取反。异或是相同取0,不同取1。符号为^,按位取反。没有逻辑异或   第九题:数字芯片7458  

  • HDLBits(1)——1.2.3.42022-01-11 20:00:56

    这几章习题比较简单,注意一些基本概念,或许面试会考察 1.wire是verilog中的一种数据类型,代表的是信号,而不是连线。 2.大部分verilog代码之间的顺序不会对结果产生影响。assign描述的是端口之间的连接关系,而不是复制一次然后赋值。         这里要澄清一个容易混淆的概念,图中的

  • Verilog HDLBits 第十四期:3.2.4 More Circuits2021-12-29 12:35:33

    目录  前言  3.2.4.1 Rule 90(Rule90) Solution: 3.2.4.2 Rule 110(Rule110) Solution: 3.2.4.3 Conway's Game of Life 16×16(Conwaylife) Solution:  前言  HDLbits网站如下 Problem sets - HDLBits (01xz.net) 从本期开始我们继续HDLbits第三章Circuits的学习,本期的内容是

  • Verilog HDLBits 第十期:3.1.4 Karnaugh Map to circuit2021-12-11 11:34:37

    目录  前言 3.1.4.1 3-varible(Kmap1) Solution: 3.1.4.2 4-varible(Kmap2) Solution: 3.1.4.3 4-varible(Kmap3) Solution: 3.1.4.4 4-varible(Kmap4) Solution: 3.1.4.5 Minimum SOP and POS(Exams/ece241 2013 q2) Solution: 3.1.4.6 Kamaugh map(Exams/m2014 q3) Solution: 3

  • HDLBits——Arithmetic Circuits2021-12-01 21:34:57

    HDLBits——Multiplexers Problem 60 : 2-to-1 multiplexer (Mux2to1) Requirement: multiplexer:多路选择器。 本题中需要实现一个 2 选 1 选择器,sel 信号作为选择信号,当 sel = 1 时选择 b,反之选择 a。 Solution: module top_module( input a, b, sel, output out );

  • HDLBits_for_?_generate2021-11-29 23:30:52

    More Verilog Features Conditional Verilog has a ternary conditional operator ( ? : ) much like C: (condition ? if_true : if_false) This can be used to choose one of two values based on condition (a mux!) on one line, without using an if-then inside a co

  • Verilog练习:HDLBits笔记162021-11-29 15:01:31

    四、Sequential Logic   Building Larger Circuits 1、Counter with period 1000 Problem Statement: Build a counter that counts from 0 to 999, inclusive, with a period of 1000 cycles. The reset input is synchronous, and should reset the counter to 0. module

  • HDLBits(5)----D latch2021-11-16 23:33:15

    目录 1. D latch2. Exams/m2014 q4d3. Exams/2014 q4a4. Exams/ece241 2014 q 1. D latch Implement the following circuit: Note that this is a latch, so a Quartus warning about having inferred a latch is expected. module top_module ( input d, inp

  • HDLBits学习------Problem 43~592021-11-15 21:32:44

    参考链接:HDLBits导学 Problem 43 Wire         问题:实现如下电路         解决: module top_module ( input in, output out); assign out = in; endmodule Problem 44 GND         问题:实现如下电路         解决: module top_module ( outpu

  • Verilog练习:HDLBits笔记122021-11-13 13:34:02

    四、Sequential Logic  Counters 1、Four-bit binary counter Problem Statement: Build a 4-bit binary counter that counts from 0 through 15, inclusive, with a period of 16. The reset input is synchronous, and should reset the counter to 0. module top_module

  • HDLbits刷题笔记—Exams/2014 q4b2021-11-07 16:34:08

    Description: Consider the n-bit shift register circuit shown below:   Write a top-level Verilog module (named top_module) for the shift register, assuming that n = 4. Instantiate four copies of your MUXDFF subcircuit in your top-level module. Assume that

  • Verilog练习:HDLBits笔记82021-11-07 16:02:21

    三、Circuits Combinational logic-Multiplexers 1、2-to-1 Multiplexer Problem Statement: Create a one-bit wide, 2-to-1 multiplexer. When sel=0, choose a. When sel=1, choose b. module top_module( input a, b, sel, output out ); always@(*)begin

  • HDLbits刷题笔记—Lfsr322021-11-07 15:34:53

    Description: See Lfsr5 for explanations. Build a 32-bit Galois LFSR with taps at bit positions 32, 22, 2, and 1. module top_module( input clk, input reset, // Active-high synchronous reset to 32'h1 output [31:0] q ); always@(pos

  • HDLbits刷题笔记—shift42021-11-06 22:04:30

    Description: Build a 4-bit shift register (right shift), with asynchronous reset, synchronous load, and enable. areset: Resets shift register to zero. load: Loads shift register with data[3:0] instead of shifting. ena: Shift right (q[3] becomes zero, q[0

  • HDLBits在线编程题之Exams/review2015 fancytimer2021-10-30 18:30:40

    Exams/review2015 fancytimer 题目代码 题目 地址:HDLBits-Exams/review2015 fancytimer 介绍:花了好长时间写的,记录一下。将计数值量化为以1000为单位,开始时则有delay的1000需要计数。在couting过程中统计已经计了1000次的次数num_1k,将delay减去num_1k即count。 代码 modu

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有