ICode9

精准搜索请尝试: 精确搜索
  • UVM中启动sequence方法总结2020-12-23 20:06:12

    目录 1、通过sequence.start方式启动 2、通过`uvm_do系列宏来启动  3、通过default_sequence启动 微信公众号 ​UVM中启动sequence方法有三: 1、通过sequnce.start的方式启动 2、通过`uvm_do系列宏来启动 3、通过default sequence来启动   1、通过sequence.start方式启动 star

  • UVM设计模式 笔记(四)迭代器模式、Python/SV中的迭代器、uvm_callback_iter、scoreboard中的迭代器2020-12-19 15:01:08

    行为型设计模式数量较多,上一篇介绍了模板模式和策略模式,下面对迭代模式进行介绍,挖掘其在UVM中的应用。 迭代器模式 Iterator Design Pattern: 对容器 (聚合类,集合数据等) 的遍历操作从容器中拆分出来,放到迭代器中,实现迭代操作的解耦。 大部分编程语言都提供了多种遍历集合的方式

  • IC验证“UVM验证平台加入factory机制“(六)2020-12-08 12:01:07

    加入factory机制 上一节《IC验证"一个简单的UVM验证平台"是如何搭建的(五)》给出了一个只有driver、使用UVM搭建的验证平台。严格来说这根本就不算是UVM验证平台,因为UVM的特性几乎一 点都没有用到。像上节中my_driver的实例化及drv.main_phase的显式调用,即使不使用UVM,只使用简

  • github上点赞前100的UVM仓库2020-12-07 22:07:09

    NAMEOWNERSTARURLDESCRIPTIONuvmprimerraysalemi174SystemVeriloghttps://github.com/raysalemi/uvmprimerlogictymonx136SystemVeriloghttps://github.com/tymonx/logicUVMReferenceVerificationExcellence110SystemVeriloghttps://github.com/VerificationExcellence/UVMRe

  • UVM 基础入门(一)2020-12-07 20:29:23

    UVM 基础入门 一、框架框架一框架二框架三 二、各组建关系三、uvm_object类类的预定义类的方法类的声明变量声明方法声明 方法的实现 一、框架 框架一 构成环境的组件都从uvm_component类继承而来,这是因为它们都从uvm_component类继承了phase机制,都会经历各个phase阶段

  • UVM中的imp通信端口笔记一2020-12-03 23:30:40

    pkg 首先搭建uvm平台一定要导入pkg。 package axi_bus_pkg: import uvm_pkg:

  • UVM ddr 效率计算2020-12-01 22:01:59

    1、首先对ddr4 model进行例化        2)ddr 效率的统计是根据ddr颗粒上的信号进行采样来进行统计的。原理是:           读效率=(数据读上升cnt   + 数据读下降沿cnt)/total_clk_cnt*2   =tr.read_cnt/((end_time-start_time/ddr_cycle *2);           写效率=(数

  • 预备段:uvm初级目录2020-11-28 14:31:45

    目次 1 组成与原理 工具:uvm+cadence 1.1 组成 框架:virtual_sequence+agent 1.2 原理分析 2.1       uvm_field宏的分析 2.2       sequence/sequencer的调用 2.3       uvm_config_db与uvm_resource_db分析 2.4       seq_item_port的分析 2.5    

  • 【摸鱼范式】【二】UVM入门教程2020-10-01 21:33:24

    软件:Questasim、gitbash 推荐使用gitbash,使用makefile之前要安装makefile,教程在这里->https://www.eemaker.com/git-bash-make.html 本节代码下载链接:链接:https://pan.baidu.com/s/1JOJDUyBLSkXA67OsaDCLFg 提取码:c8n4

  • 基于UVM的UART验证环境2020-08-09 17:31:16

    今天偶然在群里看到有人分享了Mentor Graphics提供的一个UART的UVM验证环境代码,包含了UVM的基本使用以及进阶的UVM寄存器模型。这里也分享给大家。 文件说明 agents/apb_agent - APB agent used in the UVM testbench agents/uart_agent - UART agent user in the UVM testbench a

  • Verdi UVM Debug Tool 简单使用2020-06-24 23:09:59

        文档与源码: User Guide: UVMDebugUserGuide.pdf  in $VERDI_HOME/doc Verdi online doc: Verdi Ooline Doc  //need log in SolvNet before testbench使用的是《UVM实战》的7.4.3章节源码: 源码地址:UVM实战源码下载 介绍: Verdi UVM Debug tool: 针对UVM 平台的debug工具

  • uvm基础(2)TLM通信,看这一篇就够了2020-06-08 16:11:21

    tlm通信概述 tlm通信的步骤:1.分辨出initiator和target,producer和consumer。 2.在target中实现tlm通信方法。 3.在俩个对象中创建tlm端口。 4.在更高层次中将俩个对象进行连接。 -端口类型有三种:1.port,一般是initiator的发起端。 2.export,作为initiator和target的中间端口

  • [UVM]UVM TLM1.0 Interface归纳总结 --- 图解UVM TLM1.0 Interface2020-04-19 11:10:06

                         UVM TLM1.0 Interface归纳总结                                            --- 图解UVM TLM1.0 Interface          Transaction-Level Modeling (TLM) 用户模块之间的通信. 是实现基于事务的方法的概念,这些方

  • [UVM]UVM RAL Adapter2020-04-05 18:42:53

                                        UVM RAL Adapter          With the UVM Register model, we do design register access, i.e WRITE to the design register or READ from the design register by calling RAL methods. Finally, these tran

  • [UVM]UVM RAL Predictor2020-04-04 14:37:37

                                   UVM RAL Predictor           We know that the UVM Register model maintains the latest design register values in it. but how Register model will get to know the latest values?        This will be done b

  • UVM Systemverilog 国外学习网站2020-02-21 13:06:05

    http://www.testbench.in/ https://verificationacademy.com/ mentor的学习论坛,里面有UVM cookbook 同时还包含练习代码以及testbench的模板代码 (https://verificat

  • UVM实战[一]2020-02-06 13:00:08

    一个新的连载系列,将以一个实际的UVM环境代码讲解的使用、机制等,更新周期会比较长。 文件说明 分享的文件是我个人和同学在参加复微杯大学生电子设计大赛中所完成的设计。赛题来自数字命题AI赛道,有兴趣可以了解一下 https://mp.weixin.qq.com/s/Hb4TrEDXG6uVVY7PZ0mdUw RTL设计部分

  • UVM sequence基础2020-02-02 14:42:15

    sequence概述 sequence的作用是将测试数据的产生从driver内剥离出来。通过factory和config_db机制,可以在不同的测试用例内将需要的sequence设置成sequencer main_phase内的default_sequence,以发出不同的激励。 sequence启动 sequence的启动方式可以采用start任务直接启动、

  • 1.小白学uvm验证 - UVM搭建环境验证的主要框架和基本组成2019-11-21 19:53:23

      对于一名芯片验证师而言,他可能面临的任务可能是模块级(module level)、子系统级(subsystem level)或者系统级(chip level)的验证。但是俗话说"条条大路通罗马",它们用得方式是一样的,当前业界通常采用 systemverilog 和 UVM 来验证 DUT。   UVM 是以 systemverilog 为基础,同时吸收了

  • uvm_barrier2019-08-21 15:51:50

    UVM提供uvm_barrier对多个组件进行同步协调,同时为了解决组件独立运作的封闭性需要,定义了新的类uvm_barrier_pool来全局管理uvm_barrier对象。  uvm_barrier 可以设置一定的等待阈值,仅在有不少于该阈值的进程在等待该对象时才会触发该事件,同时激活所有正在等待的进程,使其基础进行

  • 对sequence的一些理解2019-08-19 23:06:41

      sequence其实不属于验证平台的一部分,他是object而不是component,但是sequence跟component的sequencer密切相关。只有在sequencer的帮助下,sequence产生出的transaction才能最终送给driver。这里就有几个问题:如何去理解transaction? sequencer是如何把sequence送给driver的?transa

  • 【文章翻译】A Reusable Verification Environment for NoC Platforms Using UVM2019-07-02 13:28:30

    使用UVM的NoC平台的可重用验证环境 摘要:片上网络(NoC)已成为现代数字系统的互连解决方案,特别是对于片上系统(SoC),因为系统中需要通信的IP数量很多。已经引入了各种系统和路由器;因此需要制作可重复使用的验证环境来测试单个路由器和网络。在本文中,我们使用通用验证方法(UVM)为NoC平

  • 《UVM实战》——1.2节学了UVM之后能做什么2019-06-15 21:49:35

      本节书摘来自华章社区《UVM实战》一书中的第1章,第1.2节学了UVM之后能做什么,作者 张 强,更多章节内容可以访问云栖社区“华章社区”公众号查看 1.2 学了UVM之后能做什么  1.2.1 验证工程师       验证工程师能够从本书学会如下内容: 如何用UVM搭建验证平台,包括如何使用s

  • 通过plarsargs设置uvm verbosity2019-04-09 15:40:41

    +UVM_VERBOSITY=UVM_NONE 将整个UVM环境中的打印级别都设置为了UVM_NONE。 +uvm_set_verbosity=component_name,id,verbosity,phase_name,optional_time 将设置特定的component的verbosity。 例如"+uvm_set_verbosity=tb_top.pcie_inst*,_ALL_,UVM_NONE,time,0" 就是设置tb_top下面

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有