ICode9

精准搜索请尝试: 精确搜索
  • uvm_do2021-08-27 10:31:32

    外表篇: uvm_do系列宏包括: `uvm_do `uvm_do_pri `uvm_do_with `uvm_do_pri_with `uvm_do_on `uvm_do_on_pri `uvm_do_on_with `uvm_do_on_pri_with 宏的形式记忆:   细心的读者,从上面的排列总就能发现一个简答的规律,帮组记忆。金字塔记忆方法。   总共8个,分两组:一组带on,一组不

  • UVM_COOKBOOK学习【DUT-Testbench Connections】2021-08-23 23:32:17

    关注微信公众号摸鱼范式,后台回复COOKBOOK获取COOKBOOK原本和译本 PDF度盘链接 将testbench连接到DUT 概述 本节,我们主要讨论将UVM testbench连接到RTL DUT的问题。 UVM testbench对象不能直接连接到DUT信号来驱动或采样。driver和monitor组件对象与DUT之间的连接是通过一个或多个

  • 《UVM实战》笔记 phase机制2021-07-31 23:03:29

    第5章 UVM验证平台的运行 5.1 phase机制 phase机制_wonder_coole的博客-CSDN博客 function phase,不耗费仿真时间,通过函数来实现; task phase,它们耗费仿真时间,通过任务来实现。 对于function phase来说,在同一时间只有一个phase在执行; 但是task phase中,run_phase和其下属12个小的ph

  • uvm_mem_mam的用法2021-07-16 16:33:18

    uvm_mem_mam的用法: 1. 几个相关的class: uvm_mem_mam uvm_mem_mam_cfg : 用来配置uvm_mem_mam,在uvm_mem_man.new()的时候引入; uvm_mem_mam_policy: 在分配memory空间的时候用; 一般情况下需要重新定义一下; class pcie_mem_man_policy extends uvm_mem_mam_policy;     bit

  • IC验证面试之UVM(二)2021-07-14 13:34:18

    文章目录 4.UVM环境中的通信机制?5.UVM中的port、export和imp?6.UVM中run_phase和main_phase的区别?7.UVM中sequence要去调用sequencer中的东西,怎么调用?8.UVM中工厂覆盖机制和callback的区别? 以下为个人答案,水平有限。如有解释不对的地方,欢迎大家评论区留言一起学习交流。

  • [学习笔记]路科验证-UVM入门进阶[图片版]2021-07-02 01:03:14

    UVM入门进阶一:验证方法学概述,类库地图,工厂机制,覆盖方法 UVM入门进阶二:核心基类,phase机制,config机制,消息管理 UVM入门进阶三:组件家族,uvm_driver,uvm_monitor,uvm_sequencer,uvm_agent,uvm_scoreboard,uvm_env,uvm_test UVM入门进阶四:uvm结构回顾,MCDF顶层验证方案,构

  • UVM仿真环境中通过仿真命令传递参数的方法2021-05-26 15:01:57

    文章目录 前言UVM仿真环境命令行传参方法总结 前言 前面介绍过,在verilog仿真测试中,通过命令行传递参数的方法,详情见《verilog仿真测试中的参数传递——$test$plusargs和$value$plusargs》。这里主要记录一下,在UVM的环境中,如何通过仿真命令传递参数。 UVM仿真环境命令行

  • 数字芯片验证就业2021-05-13 14:32:47

    简介 通过对芯片设计验证行业以及芯片设计验证工程师的工作内容做出梳理,引导大家树立对芯片设计验证领域正确的认识,通过System Verilog基本知识点的讲解,引入数字芯片验证平台搭建流程,对验证平台中Driver、Monitor、Reference Model、Checker、Scoreboard等验证组件的功能以及搭

  • 笔记:路科V0第4节——SV及UVM概述2021-05-03 21:00:38

    SystemVerilog是IEEE 1364-2005 Verilog标准的扩展。此扩展既包含了用来实现可综合设计的设计语言特性,也包含了用来对大型设计做验证的验证语言特性。 硬件描述验证语言(HDVL,Hardware Description and VerificationLanguage) 。接口(interface),面向对象特性(class & package),

  • [uvm] reg_rm read/write2021-05-01 15:01:20

    通过adapter把数据给到itme; item就是uvm实战的bus_tranaction 或者pcie_item等等 ; adapter 产生的pcie_item可以直接给sqr/driver class case0_cfg_vseq extends uvm_sequence; //在sequence中使用 `uvm_object_utils(case0_cfg_vseq) `uvm_declare_p_sequencer(my_vs

  • sequence的仲裁机制2021-04-28 15:02:42

    概述 sequence可通过sequencer向drive发送你的待测case,如果一个sequencer只发送一个sequence是不需要仲裁的,但在实际使用中,如果一个sequencer接收了两个sequence或者更多的时候,会怎样发送各自sequence的case呢?如果你要发送的case需要存在同步关系,要怎么来做这样的同步关系呢?这个时

  • UVM中RAL相关的一些函数2021-04-14 09:32:47

    0. 引言   在UVM支持的寄存器操作中,有get、update、mirror、write等等一些方法,在这里整理一下他们的用法。   寄存器模型中的寄存器值应该与DUT保持同步,但是由于DUT的值是实时更新的,所以寄存器模型并不能实时知道这种更新,在寄存器模型中专门有个值来尽可能与DUT中寄存器的值

  • UVM_8_callback2021-04-10 11:01:11

    文章目录 增加或修改UVM组件callback四个步骤实现 收集覆盖率 增加或修改UVM组件 callback 四个步骤 在uvm组件中内嵌callback函数或者任务;登记,嵌入创建一个UVM callback空壳类(facade class)创建空壳从uvm callback 空壳类扩展 uvm callback类;丰富空壳在验证环境中创建

  • 《UVM实战》笔记part1(第一、二章+常见缩写)2021-04-01 14:01:37

    目录 一、代码常用缩写: 二、简单的UVM平台 验证平台四大部件: driver: scoreboard记分板: monitor: reference model参考模型: ·所有派生自uvm_driver的类的new函数有两个参数: main_phase: uvm_info宏: 工厂机制: run_test: objection机制: config_db机制: build_phase uvm_fatal宏 平台各

  • [SV]UVM验证环境中define的应用2021-03-18 20:59:49

                                  UVM验证环境中`ifdef的应用          前言:在环境管理中,我们经常用`ifdef来选择需要编译那些代码,避免吧大量的代码再复制一份。但是define也是一把双刃剑,使用的define过多会造成环境混乱,有些define会造成编译错误。   一、预

  • uvm实战env设置2021-03-12 13:33:38

    uvm实战env设置 env环境变量设置 #!/bin/csh source /cad/release/etc/cshrc.mentor setenv QUESTA_HOME /cad/release/mentor/questasim/10.2/questasim setenv UVM_HOME ~/uvm/uvm-1.1d setenv WORK_HOME `pwd` setenv SIM_TOOL QUESTA set path = (/cad/release/mentor/

  • APB协议UVM验证环境的搭建2021-03-09 15:32:29

    APB协议UVM验证环境的搭建 一、编译文件 只需编译这两个文件即可 apb_pkg.sv 里面包含了"apb.svh",即编译apb_pkg.sv这个文件的同时,也会编译所需要的所有的头文件。 `ifndef APB_PKG_SV `define APB_PKG_SV package apb_pkg; import uvm_pkg::*; `include "uvm_macros.svh"

  • UVM tips and tricks2021-02-27 19:29:42

    目录 1.Use of Macros to Overcome Errors Faced in Package 2.fork-join_none 中使用loop 3.random 机制 3.1 如果名字重复,使用local 3.2 动态数组需要定义size 3.3 检查randomize 结果 4.uvm_config_db的使用要注意 5. 最小的factory override for stimulus objects 6.避免使

  • UVM覆盖方法2021-02-18 14:00:25

    一、工厂机制——覆盖(override) 覆盖机制可以将原来所属的类型替换为另一个新的类型。在覆盖之后,原本原来创建原属类型的请求,将由工厂来创建新的替换类型。无需再修改原始代码,继而保证了原有代码的封装性。新的替换类型必须与被替换类型相兼容,否则稍后的句柄赋值将失败,所以使

  • uvm config_db2021-01-31 11:59:15

    uvm_resource_db

  • 数字IC验证之“创建agent当中的三个组件”(6)连载中...2021-01-27 18:57:03

    大家好,我是一哥,上章我们进行了事物级建模,以及构建事物发生器sequence,搭建一个可以运行的uvm测试平台,从本章我们开始创建agent当中的三个组件,sequencer,driver,monitor。 sequencer与sequence的名字非常接近,可以看出它们两者有着直接的联系。从uvm平台结构上来看,sequence item与

  • uvm中类继承和phase2021-01-18 15:05:22

    1,uvm中类继承关系如下所示    2,uvm中phase如下所示  

  • uvm_primer笔记ch22021-01-17 12:57:22

    uvm_primer笔记ch2 先按照传统模式建立一个testbench新的改变功能快捷键合理的创建标题,有助于目录的生成如何改变文本的样式插入链接与图片如何插入一段漂亮的代码片生成一个适合你的列表创建一个表格设定内容居中、居左、居右SmartyPants 创建一个自定义列表如何创建一个

  • Verdi UVM Debug Mode 简单使用2021-01-05 16:03:27

    转载:Verdi UVM Debug Mode 简单使用_Holden_Liu的博客-CSDN博客 文档与源码: User Guide: UVMDebugUserGuide.pdf  in $VERDI_HOME/doc Verdi online doc: Verdi Ooline Doc  //need log in SolvNet before testbench使用的是《UVM实战》的7.4.3章节源码: 源码地址:UVM实战源码

  • ahb_apb验证项目的经验小记2021-01-03 11:32:26

    uvm_config_db 对于这个知识点,印象最深刻的就是,少使用它,并且使用的时候应该精准; 这个项目中,做vip(ahb_agent和apb_agent)的时候,为了方便调用vip,不需要了解vip的具体使用方法,我们有这样的定义方法: 首先,在top_tb使用set来传递interface到agent这一层 uvm_config_db#(virtual

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有