ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

UVM仿真环境中通过仿真命令传递参数的方法

2021-05-26 15:01:57  阅读:159  来源: 互联网

标签:仿真 环境 参数 UVM 命令行 uvm


文章目录


前言

前面介绍过,在verilog仿真测试中,通过命令行传递参数的方法,详情见《verilog仿真测试中的参数传递——$test$plusargs和$value$plusargs》。这里主要记录一下,在UVM的环境中,如何通过仿真命令传递参数。


UVM仿真环境命令行传参方法

在这里插入图片描述
1、获取uvm_cmdline_processor的句柄。在base_test中,先获取uvm_cmdline_processor例化的句柄。
2、调用对应的内嵌函数。


总结

这里主要记录一下在uvm的环境中,如何通过命令行传递参数到仿真环境中去。

标签:仿真,环境,参数,UVM,命令行,uvm
来源: https://blog.csdn.net/hh199203/article/details/117290984

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有