ICode9

精准搜索请尝试: 精确搜索
  • 2021-07-022021-07-02 15:02:37

    .实验目的: 下载Quartur ii软件和modlsim并进行联合仿真。 2.实验内容: 参照书中的代码,然后用quartus ii和modlsim进行联合仿真 3.实验原理:按照书中和视频上的内容,书写和运行代码,完成联合仿真操作。 4实验工具: pc机和Quartur ii软件和modlsim软件。 5.实验截图: 6.实验视频

  • 2021-06-292021-06-29 22:57:59

    1.实验目的:下载Quartus软件与Modlsim并进行Verilog  Modelsim仿真 2.实验内容:参考老师发的视频的代码,然后用Quartus ii 和Modlsim进行仿真 3.实验原理:按照视频上的内容,书写和运行代码,完成仿真操作。 4.实验工具Quartus软件、Modlsim软件、pc机。 5.实验截图: 6.实验代码:modu

  • 带进位与溢出符的n位加法器的另一种描述2021-06-27 18:59:00

    1.实验工具: 电脑和Quartur ii软件和modlsim软件。 2.实验过程: 第一步:打开modlsim。 第二步:新建 Project。 第三步:代码输入。 第四步:保存。 第五步:编译。 第六步:生成测试文件。 第七步:进行仿真。 第八步:进行调试。 代码:module addem (carryin,X, Y, S,carryout,overflow);

  • 2021-06-272021-06-27 18:30:12

    实验名称:SR锁存器延迟模型。 2.实验工具: 电脑和Quartur ii软件和modlsim软件。 3.实验过程: 第一步:打开modlsim。 第二步:新建 Project。 第三步:代码输入。 第四步:保存。 第五步:编译。 第六步:生成测试文件。 第七步:进行仿真。 第八步:进行调试。 实验代码及其结果: module my_rs

  • quarturs Ⅱ软件和modlsim联合仿真实验2021-05-21 23:33:39

    1.实验目的:下载Quartur ii软件和modlsim并进行联合仿真。 2.实验内容:参照书中的代码,然后用quartus ii和modlsim进行联合仿真 3.实验原理:按照书中和视频上的内容,书写和运行代码,完成联合仿真操作。在这里插入图片描述在这里插入图片描述 4实验工具:pc机和Quartur ii软件和modl

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有