ICode9

精准搜索请尝试: 精确搜索
  • 日志_2022/1/62022-01-06 22:02:51

    Error1 > library() Error in .rs.pager(files, header, title, delete.file) :    File C:\Users\友嘉\AppData\Local\Temp\RtmpGGJvsG\RlibraryIQR762413e6379e does not exist. 解决:是用户文件夹中文名的原因。新建一个本地Windows账户,英文名且不带空格,给予管理员权限,再打

  • What is a Value Change Dump (VCD) file?2021-12-20 08:33:30

    Verilog is a Hardware Description Language (HDL) used to model digital logic. The values of signals can be written out to a Value Change Dump (VCD) file while simulating logic circuits. The syntax of the VCD *text file* is described in the documentation o

  • 复制加密VCD步骤2021-12-11 12:02:48

    复制加密VCD步骤: 光盘的盘符为“J”把加密的VCD光盘复制到 D:\VCD1 目录里。 1、在cmd命令窗口定位到D盘,执行以下命令创建目录mkdir vcd1mkdir vcd1\extmkdir vcd1\segmentmkdir vcd1\vcdmkdir vcd1\jm2mkdir vcd1\mpegavmkdir vcd1\CDI 2、假设光盘路径为J盘,复制光盘中的文件夹所

  • 记忆中的光盘CD2021-10-14 18:31:42

    记忆中的光盘CD 我的初中是在镇上中学读的,在那里我度过了快乐的天真无邪的三年初中时光,那里有我许多美好回忆,让我至今仍记忆犹新,回忆起来仍觉熟悉,温暖,亲切和感动。我的三年初中时光里有很多人,很多事情,很多东西,很多老商品和老物品带给我许多欢乐和美好时光,给我留下了许多美好

  • verdi 文件格式转换及差异2021-02-24 21:30:27

    1、fsdb2saif 命令: fsdb2saif 1.fsdb -bt 100ns -et 200ns -o 2.saif 将1.fsdb文件中100~200ns时间段的波形抽出转换为2.saif文件。 2、fsdb2vcd 命令: fsdb2vcd 1.fsdb -bt 100ns -et 200ns -o 2.vcd 将1.fsdb文件中100~200ns时间段的波形抽出转换为2.vcd文件。 3、fsdbext

  • modelsim将vcd文件转换成wlf文件并查看波形2020-10-01 10:33:24

    ①打开modelsim,点击“File”,点击“Change Directory”。 ②选择vcd文件所在的目录。 ③输入命令:vcd2wlf test.vcd test.wlf,回车。(ps:这里我的vcd文件是test.vcd) ④生成wlf文件。 ⑤把它拖到modelsim中的console窗口。 ⑥右键“Add Wave”,查看波形。

  • 写出我心(六)2020-05-31 14:08:54

    超级玛丽,魂斗罗,双截龙,马戏团,雪人,三国演义,今天聊一聊上小学时候的“家庭作业”。 那会应该也就小学二、三年级,每天放学回到家第一件事儿就是把键盘连上黑白电视,把游戏手柄和键盘连接就位,然后吃上一碗白糖泡馒头,火速打开电视机,然后开始今天的家庭作业。当时经常玩的是魂斗罗,和我哥一

  • VCS课时4: 使用VCD文件进行后处理2019-12-21 10:57:22

    课程目标 原来是互动的过程,这一节课主要讲的是仿真平台完成任务,用DVE打开打开波形文件 查看波形文件 查看log文件 最早的是VCD文件,在这基础上推出的VCD+文件,文件比较大,读取慢 在仿真代码中,嵌入dump 波形 产生VCD文件 DVE在仿真后步骤 1 DVE后处理模式 PPT1 仿真的速度(depend

  • PTPX-功耗分析总结2019-08-27 14:03:10

    使用PrimeTime PX进行功耗分析有两种:一种是平均功耗的分析Averaged power analysis,一种是Time-based power analysis。   电路的功耗主要有两种,一种是漏电流功耗(Leakage Power),也就是一个单元在没有switching,inactive或者static情况下的功耗,包括intrinsic leakage power和gate l

  • 【转载】数字IC设计工程师技能树2019-08-08 20:52:34

    I. 技能清单作为一个真正合格的数字IC设计工程师,你永远都需要去不断学习更加先进的知识和技术。因此,这里列出来的技能永远都不会是完整的。我尽量每年都对这个列表进行一次更新。如果你觉得这个清单不全面,可以在本文下留言,我会尽可能把它补充完整。语言类Verilog-2001/ VHDLSy

  • 吴裕雄--天生自然 R语言开发学习:基本统计分析(续一)2019-07-12 13:03:18

    #---------------------------------------------------------------------## R in Action (2nd ed): Chapter 7 ## Basic statistics ## requires packages npmc, ggm

  • 吴裕雄--天生自然 R语言开发学习:基本统计分析(续二)2019-07-12 13:00:28

    #---------------------------------------------------------------------## R in Action (2nd ed): Chapter 7 ## Basic statistics ## requires packages npmc, ggm,

  • 吴裕雄--天生自然 R语言开发学习:基本图形(续三)2019-07-12 12:56:02

    #---------------------------------------------------------------## R in Action (2nd ed): Chapter 6 ## Basic graphs ## requires packages vcd, plotrix, sm, vioplot to be ins

  • 吴裕雄--天生自然 R语言开发学习:基本统计分析2019-07-12 12:51:22

    #---------------------------------------------------------------------## R in Action (2nd ed): Chapter 7 ## Basic statistics ## requires packages npmc, ggm,

  • 吴裕雄--天生自然 R语言开发学习:R语言的简单介绍和使用2019-07-07 18:03:08

    假设我们正在研究生理发育问题,并收集了10名婴儿在出生后一年内的月龄和体重数据(见表1-1)。我们感兴趣的是体重的分布及体重和月龄的关系。 可以使用函数c()以向量的形式输入月龄和体重数据,此函数可将其参数组合成一个向量或列表。然后用mean()、sd()和cor()函数分别获得体重的均

  • 使用layui做基础的VCD管理系统+JSON数据的封装2019-06-28 10:16:39

      在数据库中,确定数据库的字段为vcdID,vcdName,vcdTotal(vcd藏量),vcdLendOut(借出的vcd数量),InputDate(置入日期) 使用mybatis的逆向工程文件生成vcd的实体和mapper 当然数据库查询的语句和方法也有其他的,这篇文章的主要侧重在layui的学习和应用。   主要的页面主要分为两个,一个是

  • ChipScope波形存储及再此查看2019-03-09 15:48:46

        最近调试经常用ChipScope抓数据,之前一直是把数据的波形输出为pdf文件存起来,最近觉得这种方式存储起来的波形后续再查看很不方便,于是对网上的相关资料进行了整合并添加了自己摸索出来的一些东西,做了一个ChipScope抓到的数据存储及再查看的操作介绍。     核心软件:ChipSco

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有