ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

modelsim将vcd文件转换成wlf文件并查看波形

2020-10-01 10:33:24  阅读:728  来源: 互联网

标签:文件 vcd 波形 modelsim test wlf


①打开modelsim,点击“File”,点击“Change Directory”。

②选择vcd文件所在的目录。

③输入命令:vcd2wlf test.vcd test.wlf,回车。(ps:这里我的vcd文件是test.vcd)

④生成wlf文件。

⑤把它拖到modelsim中的console窗口。

⑥右键“Add Wave”,查看波形。

标签:文件,vcd,波形,modelsim,test,wlf
来源: https://www.cnblogs.com/FPGAer/p/13757368.html

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有