ICode9

精准搜索请尝试: 精确搜索
  • VIVADO SDK中驱动VDMA(standaloneOS)2021-09-14 14:03:09

    生成的工程中,可以在BSP中找到VDMA的驱动代码。 其中的xaxivdma.h是总的头文件,其中定义了各种参数, xaxivdma_hw.h是硬件相关的定义,定义了各个寄存器的offset,各个bit位的mask,并给出了各个基础宏的alias宏别名,以及各个基础宏拟函数的encapsulation,宏拟函数的再封装,形成宏拟函数

  • Vivado / Vitis HLS有什么奇技淫巧?2021-09-05 10:00:29

    https://www.zhihu.com/question/456999847/answer/1867361926   写个坑,最近在用vitis hls 在hls里仿真联合仿真全部通过,没问题,vivado综合生成bit流也没问题,上板子输出的结果就不对,资源也够用,找了一万年 后来偶然注意到hls中dsp用到了70%,vivado综合后是100%,其他都没满,调整算法,把h

  • 在Vivado中配置FIFO-IP核2021-08-24 21:34:41

    文章目录 一、FIFO是啥??二、同步FIFO-IP核的配置和验证三、使用1.实例化FIFO2.仿真验证 一、FIFO是啥?? FIFO本质上还是RAM,可读可写,只不过是先写先读,核、就像数据结构里面的队列。其主要在多比特做跨时钟域处理、前后带宽不同步等情况下使用根据时钟可分为同步FIFO和异步F

  • Vivado中综合,实现,编程和调试工程可能会出现的问题及解决方案2021-07-31 09:34:26

    Xilinx公司的IDE(集成开发环境) Vivado用处广泛,学会使用Vivado对FPGA的学习至关重要,这里以PRX100-D开发板为例,对Vivado的学习使用进行探讨。本文将会持续更新,列出一些常见的Vivado使用过程中出现的问题,供大家参考。 在Vivado使用过程中 出现的问题,主要会分为以下几类: 与Vivado软

  • modelsim和vivado仿真不一致——噩梦debug2021-07-30 18:00:40

    昨天经历了恶梦debug,中间排了很多坑,特来记录一番。 一、问题描述 和队友写了lenet神经网络推理的硬件实现,在modelsim已经跑通,且验证了功能,但需要移植到vivado,利用里面的dist_rom加载权重。 顺便插一句,vivado有两者存储IP:dist_ram和blk_ram,分别表示分布式存储和块存储。分布

  • 超棒的免费FPGA时序分析课程--基于xilinx、vivado2021-07-19 12:30:56

    超棒的免费FPGA时序分析课程–基于xilinx k7、vivado 2018 这里分享尤凯文老师在B站上的FPGA时序分析教程,非常不错,免费更新的课程干货满满。 链接一: 前10讲(专题) https://www.bilibili.com/video/BV197411G7zS?p=10&share_source=copy_web 涉及内容包括:建立保持时间时序分析、

  • vivado 使用define 宏2021-07-13 13:35:06

    `define:作用 -> 常用于定义常量可以跨模块、跨文件;                范围 -> 整个工程。   宏定义定义和使用步骤如下: 1.正确添加头文件步骤如下 2.编辑预定义代码 3.设置头文件属性(此步骤做不做都可) 4.在文件中使用宏定义 (1)首先,在此文件中添加文件头:`include "ax

  • vidado 2019.2与modelsim2019.2的安装、破解、联合仿真配置2021-07-12 17:59:52

    根据Xlinx官网给出的信息,vivado 2019.2支持的第三方仿真工具如下: modelsim需要2019.2的版本,所以在这里给出vivado2019.2以及modelsim2019.2的安装与破解。 一、资源链接 vivado 2019.2安装程序可以直接在Xilinx官网上下载,只不过下载过程非常漫长,下载前需要现在官网上注册账

  • vivado中未分配引脚的时序处理方法(转)2021-07-07 20:01:40

    set_property SEVERITY {Warning} [get_drc_checks NSTD-1] set_property SEVERITY {Warning} [get_drc_checks RTSTAT-1] set_property SEVERITY {Warning} [get_drc_checks UCIO-1] 将这三句添加到时序约束文件(没有创建一个),即可解决Vivado未分配引脚约束报错的问题——————

  • 赛灵思 Xilinx UG902 - Vivado Design Suite 用户指南:高层次综合(中文版) (v2019.2)2021-07-04 11:01:50

    文件类型: 用户指南 (User Guides) 有关使用 Vivado® 高层次综合 (HLS) 的详细信息以及相关概念的概述。Vivado HLS 可将 C、C++ 或 SystemC 语言设计规格转换为寄存器传输级 (RTL) 代码以供 Vivado 工具进行综合和实现。包含 HLS 编码样式和命令参考。 下载链接: https://china

  • Ubantu系统中vivado安装及配置2021-07-03 20:29:47

    目录 1、安装Vivado: 2、Linux系统下安装Vivado成功导入license,但是view license status里又找不到license 3、ubuntu平台vivado无法识别NIC_ID而无法使用license的解决办法 4、运行Vivado又找不到FPGA 5、Ubantu桌面添加vivado快捷方式 1、安装Vivado:   1.在xilinx官网下载

  • UG896 - Vivado Design Suite 用户指南:采用 IP 进行设计 (中文版) (v2020.1)2021-07-03 11:31:35

    文件类型: User Guides 描述如何使用 Vivado® 工具将来自赛灵思 IP 目录的即插即用 IP 模块(包括自定义封装的 IP)添加到您的设计中,并描述如何在设计中使用 IP、创建所需输出文件、管理和升级 IP 以及利用 IP 对设计进行仿真。 下载链接: https://china.xilinx.com/support/docume

  • Vivado中DDR4的使用2021-06-28 20:34:33

    最近在使用 Xilinx 的 VU9P 板卡做一些基于FPGA的神经网络加速部署,其中就用到了 DDR 进行数据的存储。 板卡具体型号如下图所示 : 资源分布量如下图所示 : 注意 如果板卡型号属于 7系列 Xilinx 板卡型号,那么其 DDR 类型为 DDR3 资源。 如果板卡型号属于 Ultra 系列,那么 DDR

  • 嵌入式新闻早班车-第7期2021-06-26 12:01:09

    【3-5分钟阅读】 目录 【Windows 11 正式发布】 【松下开发新型半导体基材】 【Xilinx 将机器学习优化添加到 Vivado 以加速设计】 【英飞凌创建雷达传感器来监控自动驾驶汽车中的人员】 【ARM推出Armv9-A架构的安全功能Arm CCA】 【研究人员创造了一种模仿人类触觉识别过程的

  • UG1197 - UltraFast Vivado HLS 方法指南 (中文版) (v2020.1)2021-06-21 17:32:57

    文件类型: 方法指南 (Methodology Guides) 本文档旨在为高效设计与验证提供一种全新方法,其中包含有关基于高层次综合及 IP integrator 的设计、并行开发流程、侧重于 IP 的设计的信息,以及设计复用和设计流程自动化脚本等的相关信息。 下载链接: https://china.xilinx.com/support

  • 2021-06-202021-06-20 19:34:10

    Vivado设置多线程编译 1,新建 文件名为Vivado_init.tcl 的文件, 文件内容为: set_param general.maxThreads 8 2,把文件放入以下目录: 参考文档 ug835-vivado-tcl-commands.pdf

  • 【Chips】如何启动第一个Quartus/Vivado下的Verilog仿真过程2021-06-16 21:03:13

    1 如何让Quartus和Modelsim实现联动仿真 Quartus中新建一个工程,注意,Simulator设置为Modelsim。如果你的工程已经建好了,可以通过【Assinment -> setting -> EDA Tool Settings】去修改simulator。路径设置为Modelsim的win64文件夹。新建一个Verilog文件,复制一份简单的代码。编

  • Vivado与Modelsim联合仿真2021-06-16 20:58:47

    目录 Vivado与Modelsim联合仿真 1. 常用版本 2. 编译和添加仿真库 Vivado编译库 Modelsim添加仿真库 3. 联合仿真 1. 常用版本 Vivado Design Suite 2019.2 Mentor Graphics ModelSim SE/DE/PE (2019.2) Vivado Design Suite 2018.3 Mentor Graphics ModelSim SE/DE/PE (10.6c)

  • vivado ise 关联设置2021-06-15 09:31:16

    C:/Users/Admin/AppData/Local/Programs/Microsoft VS Code/Code.exe -g [file name]:[line number] // vc关联vivado路径 c:\Program Files\Notepad++\notepad++.exe [file name] -n[line number] //notapad++关联vivado {c:/Program Files/Notepad++/notepad++.exe} $1 //i

  • 如何使用modelsim独立仿真VIVADO2021-06-12 20:33:42

    第一次使用modelsim仿真VIVADO项目,遇到了很多坑,也浪费了很多时间,在这里跟大家分享一下,同时感谢帮我解决问题的朋友。 问题1: 我的项目是VIVADO 2018.02,这个软件我电脑里没有安装,由于偷懒我就用VIVADO 2018.03生成了库,结果在仿真的时候,有些库找不到,只能无奈的去下载VIVADO 2018.02

  • 在vivado中添加支持pynq-2开发板文件【亲测】2021-06-08 15:01:43

    因为版本或其他原因,新建工程时,在器件选型board栏没有pynq-2,故采用下述方法添加文件使vivado工具支持; 1、我电脑安装路径:G:\Tool_software\vivado\vivado_2018_2\Vivado\2018.2\data\boards\board_files 解压官方提供的pynq-z2_boardfiles.zip,解压将pynq-z2拷贝到\vivado_2018_2\V

  • 基于赛灵思7系列+vivado软件的入门笔记2021-06-04 10:33:01

    基于赛灵思7系列+vivado软件的入门笔记 0. 基础准备0.0. 参考资料0.1. start up 写一个计数器,实现LED灯闪烁0.2 常见语法相关的注意事项 0. 基础准备 0.0. 参考资料 1.【零基础轻松学习FPGA】小梅哥Xilinx FPGA基础入门到项目应用培训教程 0.1. start up 写一个计数器,实

  • vivado DDS核使用2021-06-03 12:02:16

    图1:DDS结构 几个重要参数: :频率分辨率 :系统时钟 :输出波形频率 :相位增量 :相位累加器位宽(正整数)   有公式: 当时钟频率为100M,想输出1M频率,频率分辨率为0.001的正弦波,相位增量的计算为:

  • 当VIVADO中时序报告中的建立时间和保持时间不对的时候,应该如何修改呢?2021-05-29 23:57:20

    1、首先要学会看vivado中的时序报告 Vivado时序报告中涉及到的参数: 1)setup建立 WNS(Worst negative Slack):最坏负松弛,所有时序路径上的最坏松弛,用于分析最大延迟。WNS为负数的时候表示有问题,为正时表示没有冲突。 TNS(Total Negative slack):总的负松弛,当只考虑每个时序路径端

  • 安装Vivado时遇到的问题及解决2021-05-19 11:35:48

    安装过程需要登陆Xilinx账号 UserID和password总是验证不通过   解决: 在个人的账号管理里更新信息,用邮箱和密码就能通过了。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有