ICode9

精准搜索请尝试: 精确搜索
  • Vivado中FFT IP核的使用2020-05-05 11:39:51

    FFT(快速傅里叶变换)作为数字信号处理的核心算法具有重要的研究价值,可应用于傅里叶变换所能涉及的任何领域,如图像处理、音频编码、频谱分析、雷达信号脉冲压缩等数字信号处理领域。FFT的鲜明特征之一是计算离散傅里叶变换(DFT)的高效算法,把计算N点DFT的乘法运算量从N2次降低到N/

  • FPGA流水灯(含Vivado使用流程)2020-05-01 20:01:16

    1. 建立工程 新建工程。 工程名和工程路径。 根据芯片型号选择。 其他一路Next直至Finish。 2. 源文件 新建源文件: Add Sources→Add or create design sources Verilog代码:(这里先以流水灯为例) module led_stream( output reg [3:0] led, // LED4 to LED1, 1 on, 0 off

  • VIVADO中关于管脚约束错误的一种解决方法2020-04-28 10:40:55

    在vivado中,有的IP核已经包含了模块的引脚配置,这样在约束文件中就不用写相应的约束设置。然而在生成位码流的时候就可能会出现如下的错误提示: [DRC UCIO-1] Unconstrained Logical Port: 16 out of 22 logical ports have no user assigned specific location constraint (LO

  • AXI总线简介、ID分析、DMA、Vivado烧录、系统集成2020-04-28 09:04:41

    转载:https://blog.csdn.net/CrazyUncle/article/details/89918030?depth_1-utm_source=distribute.pc_relevant.none-task-blog-OPENSEARCH-1&utm_source=distribute.pc_relevant.none-task-blog-OPENSEARCH-1 AXI总线简介、ID分析、DMA、Vivado烧录、系统集成AXI总线简介https://

  • 如何在zynq中调用并驱动vivado HLS生成的ip core2020-04-18 13:41:23

            vivado HLS 为赛灵思开发的高层次综合工具,可实现直接使用 C,C++ 以及 System C 语言对Xilinx的FPGA器件进行编程。赛灵思官方给出了ug902文档,很详细的介绍了官方提供的各种库,以及HLS的使用方法。本文将介绍如何在zynq上使用vivado HLS生成的ip核。 一、创建一个viva

  • PYNQ-Z1开发板通过QSPI FALSH启动UBOOT2020-04-02 13:42:53

    PYNQ-Z1开发板通过QSPI FALSH启动UBOOT前期准备工作安装虚拟机和ubuntu安装petalinux安装vivado和SDK下载PYNQ-Z1的board file文件方法实现使用vivado创建工程将硬件信息导出通过petalinux进行配置相关的启动文件通过SDK将BOOT.BIN烧录到flash中效果展示PUTTY显示 前期准备

  • VIVADO 2017.4配置MIG IP注意事项2020-03-23 16:56:38

    1、2GB的single rank SODIMMs配置pin还是和以前一样没有问题; 2、8GB SODIMMs配置pin需要注意4点:   (1)、所有的DDR3引脚都需要在连续的BANK上,例如Z7100的BANK33/34/35;   (2)、address信号线和control信号线必须在同一个BANK上;   (3)、address信号线和control信号线必须连续

  • 快捷实现Vivado的non-project模式2020-02-21 14:45:32

    快捷实现Vivado的non-project模式 1.基础篇 使用脚本综合实现工程是十分便利的,下面介绍一种方便,快捷的方法实现vivado的non-project模式。这种方法的优点在于开发者不用深入了解tcl语法,一样可以使用non-project模式。下面的讲解都是基于vivado2018.1版本进行的。 首先,我们需

  • VIVADO中DDS IP核的简介、配置及其仿真2020-02-03 11:42:58

    数字信号处理(一):Xilinx Vivado DDS IP核设计实例 https://blog.csdn.net/gslscyx/article/details/104024041 vivado DDS IP核参数设置和仿真 https://blog.csdn.net/weixin_43773312/article/details/103964418 Vivado DDS IP核使用和仿真(一、单通道信号发生器) https://bl

  • matlab与FPGA无线通信、FPGA数字信号处理系列(5)—— 在 Vivado 中 使用 Verilog 实现串行 FIR 滤波器2020-01-20 21:39:51

    在 FPGA 实现 FIR 滤波器时,最常用的是直接型结构,简单方便,在实现直接型结构时,可以选择串行结构/并行结构/分布式结构。 串行结构即串行实现 FIR 滤波器的乘累加操作,数据的处理速度较慢。N 阶串行 FIR 滤波器,数据的输入速率 = 系统处理时钟速率 / 滤波器长度(N+1),本例使用 7

  • 玩转Zynq连载33——基于Vivado的在线逻辑分析仪板级调试2020-01-17 11:39:28

    特权同学玩转Zynq连载33——基于Vivado的在线逻辑分析仪板级调试 1 概述 基于Vivado的板级调试介绍,可以参考文档《玩转Zynq-基础篇:基于Vivado的在线板级调试概述.pdf》。这里我们以zstar_ex54工程为例,对FPGA的在线逻辑分析仪使用进行介绍和实战操练。 2 标记探测信号 在axi

  • verilog中可综合的task使用2020-01-15 11:56:47

    参考:https://blog.csdn.net/CrazyUncle/article/details/86164830   前言 在进行多通道数据处理的时候,对于数据截位这样的操作,重复性的功能任务则可使用task进行预先定义,直接调用。 减少代码量及代码出错概率及后期修改容易程度。     流程 (1)任务的语法格式: task <任务名>;

  • MATLAB、questasim、vivado数据处理2019-12-28 09:04:05

    1- matlab 与 vivado 读取ila/csv文件   2- matlab与questasim 1) matlab生成数据,questasim读取 matlab存储(以25位有符号定点数为例): 最高位为符号位,data归一化*0.99*(2^24 - 1),取整,并转化为对应进制, 2)questasim与MATLAB,以25位有符号小数为例: questasim存储: 有两个基本需求,一个是

  • 关于notepad++不能与vivado关联的问题2019-11-04 19:55:29

    如果您尝试了很多办法都发现notepad++都无法与vivado关联,那么就有可能时下面这种原因导致的。您可以右键notepad++,低矮、点击属性,然后将下面两项勾掉不选,然后在按照网上的办法去操作即可。      

  • Vivado开发套件设计指南(2)——加法器设计——变量(上)2019-09-06 11:02:31

    目录1 简介2 HLS设计(1)创建工程(2)程序设计(3)四步曲2 HLS设计(番外)3 Vivado设计(1)创建工程(2)硬件电路连接(3)硬件信息输出 1 简介 在上一篇博文中笔者介绍了Vivado™设计套件的概要,用途,优点,开发思路等等。这一篇博文中,我就不啰嗦直接进入正题,以最简单的变量加法器作为例子,从HLS到Viv

  • c – Vivado SDK无法识别#include“math.h”中的函数2019-08-29 01:15:50

    我在Vivado SDK中编写了一个简单的项目,以测试我在Vivado中开发的HW平台. 我的问题是SDK无法识别sin()函数.我已经包含了“math.h”库而没有任何错误,程序识别出包含sin()函数的库(我自己检查过它). 我得到了这个错误: C:\PATH...\Debug/../src/helloworld.c: undefined reference

  • vivado/SDK 问题总结2019-08-27 11:05:54

    问题: 使用vivado2016.1生成最小microblaze系统,调出SDK后,建立hello word工程;运行后控制台无法打印输出; 在网上看到解决方法1:.在SDK的Run配置选项中,需要在STDIO Connection中选中“Connect STDIOto Console”,并将Port设置为“JTAG UART”。 但是我在Run配置选项中没有看到有“ST

  • Xilinx-PYNQ_Z2系列-学习笔记(11):自定义overlay2019-08-26 15:04:58

    Xilinx-PYNQ_Z2系列-学习笔记(11):自定义overlay 该博文参考:https://blog.csdn.net/bramblewalls/article/details/80045922 一、前言 首先大致介绍一下PYNQ:PYNQ开源框架可以是嵌入式编程用户在无需设计可编程逻辑电路的情况下充分发挥APSOC功能。PYNQ提供了一个Python接口,可

  • Xilinx zynq vivado工程搭建2019-08-21 14:39:15

    方法 新建工程,一路默认到选择器件,这里根据项目选择自己的芯片, 新建Block Design, 添加IP, 这里先添加zynq, 点击Run Block Automation, 双击zynq的图标,配置CPU和外设,首先是时钟,我的是33.33MHz,FCLK是PS提供给PL用的时钟, 配置DDR,这里只配了Memory Part参数,我们假定PCB Layo

  • 随想录(zynq学习)2019-08-04 11:07:58

    【 声明:版权所有,欢迎转载,请勿用于商业用途。 联系信箱:feixiaoxing @163.com】       最近学习zynq较多,这里做一个笔记,供日后参考使用。zynq是xilinx公司出的一款soc,上面包含有双核arm9+fpga,arm9可以运行裸机程序或者是linux,fpga可以做一些定制化的硬件开发,比如说10个以上的

  • Ubuntu16.04下将Vivado&sdk生成桌面快捷方式2019-07-22 17:38:22

    需求: Linux下,每次打开软件sdk和vivado的时候都会要用命令的方式打开软件,非常的不方便。所以有了将这两个软件生产桌面快捷方式的想法 准备 Ubuntu 16.04系统  已经安装了vivado 和 sdk这两个软件   步骤 vivado 1、cd  /home/edem/usr/share/applications 1.2、sudo vi viva

  • cpu设计----1.5 加法器的上板运行2019-07-17 21:43:05

    经过在vivado上的仿真----综合----生成比特流----连接到板,结果如下:   需要注意的就是--代码的缺一不可;来源路径的正确性;  

  • TIMING_06 VIVADO环境下的时序约束 之 输入延迟约束2019-07-02 09:56:36

             由于该系列文章阅读有顺序性,所以请跳转至该系列文章第一篇从头开始阅读,并按照文章末尾指示按顺序阅读,否则会云里雾里,传送门在此:  https://blog.csdn.net/qq_33486907/article/details/89380368   《TIMING_01 时序约束与时序分析》 目录 1.输入延迟约束 1.1

  • Xilinx Vivado的使用详细介绍(3):使用IP核2019-05-29 20:51:38

    原文链接:http://www.paincker.com/vivado-basic-usage-3 IP核(IP Core) Vivado中有很多IP核可以直接使用,例如数学运算(乘法器、除法器、浮点运算器等)、信号处理(FFT、DFT、DDS等)。IP核类似编程中的函数库(例如C语言中的printf()函数),可以直接调用,非常方便,大大加快了开发速度。 使用Ve

  • Xilinx Jtag 驱动问题2019-05-11 12:48:49

      Xilinx, ZYNQ Win10 64位系统,原先由Vivado 2016.4,又安装Vivado18.1后,Jtag识别异常 第一次连接USB-JTAG下载器时,在设备管理器指定驱动程序位置:C:\Xilinx\Vivado\2017.4\data\xicom\cable_drivers\nt64后,显示驱动安装成功,出现如下现象; 现象:下载器上的连接指示灯不亮,但系统设备列

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有