ICode9

精准搜索请尝试: 精确搜索
  • 某音设备号研究分析2022-02-18 11:02:56

    分析抓包分析 如已安装过某音, 需重置模拟器才可抓到包, 刚打开抖音即可抓到注册设备号的数据包数据包截图     选项 | 解释-------- | -----请求方式 | POST请求体 | 加密数据包返回数据 | 设备号信息 (主要参数 : device_id和install_id) 可分析出除了xg算法, 主要参数

  • 云边通信中如何对边缘节点进行流量整形2022-02-16 02:00:38

    云边通信中如何对边缘节点进行流量整形? 收录于 2021-09-25 06:13:55 查看 2988 次 kubernetes    KubeEdge    Docker    Linux    1、问题背景 之前项目需要一个功能,但随之而来的问题是: 由于边缘节点网络带宽资源有限,加上云边网络的不稳定性,当边缘节点频繁拉取

  • 计算机网络_04 网络层2022-02-10 21:34:21

    计算机网络_04 网络层 网络层IP协议地址分配协议IPv4DHCP(动态主机配置协议)CIDR(无类别域间路由)NAT(网络地址转换协议)IPv6 路由器路由器分组转发流程最长匹配原则 路由表的结构 ICMP协议ICMP的应用PingTraceRoute ARP协议ARP协议解析流程 网络层 IP协议 IP 协议(Internet

  • get和post的区别2022-02-10 09:02:40

    get和post的区别主要有以下几方面: 本质区别:GET是从服务器上获得数据;POST是向服务器传递数据 1、url可见性: get,参数url可见; post,url参数不可见 2、数据传输上: get,通过拼接url进行传递参数; post,通过body体传输参数 3、缓存性: get请求是可以缓存的 post请求不可以缓存 4、后退页面

  • ACL原理2022-02-07 17:02:21

    1、ACL介绍 信息点间通信和内外网络的通信都是企业网络中必不可少的业务需求,但是为了保证内网的安全性,需要通过安全策略来保障非授权用户只能访问特定的网络资源,从而达到对访问进行控制的目的。 ACL(访问控制列表)可以过滤网络中的流量,控制访问的一种网络技术手段。 ACL的本质

  • PCIE SWITH 8750 p2p 遇到问题2022-02-07 12:02:45

    系统大致结构如下(省略了不相干部分) 相关的管脚链接如下 系统的拓扑结构如下, port9, port9对应的EP是要测试P2P的路径。 现象: host 访问EP都是可以的。   port8 链接的EP与PORT9链接的EP 之间 P2P 不通 BAR空间配置看上去都对,两个EP互发的读写请求地址也对,但就是对方收不到

  • cartographer安装:vmware ubuntu20.04 + noetic2022-02-06 18:31:59

    cartographer安装:vmware ubuntu20.04 + noetic 为方便以后使用,在此记录一下自己安装 cartographer的过程。 在安装过程中,参考了以下教程: https://blog.csdn.net/yqziqian2/article/details/118100338 在参考次教程前,我也查看了博主安装 cartographer 时参考的教程,其中涉及到

  • TCP/IP 协议簇简单概述2022-02-06 01:02:37

    简单概述 Internet(因特网)采用 TCP/IP 模型,所以要了解 TCP/IP 模型是什么以及 TCP/IP 模型各层次协议的作用。 通信协议是计算机之间交换信息所使用的一种公共语言的规范和约定。Internet 的通信协议包含 100 多种相互关联的协议,但是 TCP 和 IP 是其中两个最核心的关键协议,所以把 I

  • 十四、计算机网络--iptables2022-02-05 15:34:38

    iptables只是个传参的工具,真正起作用的内核中的netfilter 1.默认的五种规则链: INPUT OUTPUT FORWARD POSTROUTING PREROUTING 2.默认的4个规则表: raw表:确定是否对该数据包进行状态跟踪 --》记录状态,你是新的数据包,还是老的数据包 mangle表:对数据包设置标记 --》可以给数据包插

  • IP协议——IP分割处理与再构成处理2022-02-04 15:02:04

    1、数据链路不同,MTU则相异:         每种数据链路的MTU之所以不同,是因为每个不同类型的数据链路的使用目的不同。使用目的不同,可承载的MTU也就不同。鉴于IP属于数据链路的上一层,它必须不受限于不同数据链路的MTU大小。 2、IP报文的分片与重组:         分片往往在

  • 深入理解负载均衡2022-02-04 14:00:38

    文章目录 负载均衡分类四层负载均衡真的是在四层吗数据链路层负载均衡网络层负载均衡IP隧道传输(IP Tunnel)网络地址转换(NAT) 负载均衡到底是转发还是代理总结 负载均衡分类 工作学习中我们接触过形形色色的负载均衡产品,但他们从形式上说都可以分为两种:四层负载均衡和七层

  • SOAP注入学习——安鸾靶场---SOAP协议注入 练习记录2022-02-02 23:58:57

    新年好啊! 今天初二,给大家拜个年了,也祝师傅们新的一年取得更大的进步。 目录 SOAP注入知识点 过程   SOAP注入知识点 SOAP注入 - 知乎

  • 简陋的路由器2022-01-30 22:00:48

    这个作业属于哪个课程 2022面向对象程序设计 这个作业要求在哪里 第二次寒假作业 这个作业的目标 提供规则集(dataacl1.1)和数据集(dataacl1.n_trace),输出数据集中数据包所最佳匹配的规则位置(即匹配到第几条规则就输出规则编号,从0开始,若匹配不到输出-1,输出格式”数据包信息

  • 定义一个解决TCP粘包问题的封包和拆包的模块2022-01-30 21:01:45

    TCP粘"包"问题浅析及解决方案Golang代码实现   一、粘“包”问题简介 在socket网络编程中,都是端到端通信,客户端端口+客户端IP+服务端端口+服务端IP+传输协议就组成一个可以唯一可以明确的标识一条连接。在TCP的socket编程中,发送端和接收端也同样遵循这样的规则。 1、部分字符和

  • 基本概念2022-01-30 19:04:43

    一些概念 五元组:源IP地址,源端口,目的IP地址,目的端口,和传输层协议这五个量组成的一个集合。 例如:192.168.1.1 10000 TCP 121.14.88.76 80 就构成了一个五元组。其意义是,一个IP地址为192.168.1.1的终端通过端口10000,利用TCP协议,和IP地址为121.14.88.76,端口为80的终端进行连接。

  • 抓包分析tcp协议2022-01-30 18:03:29

    抓包分析tcp协议    TCP协议:先建立握手协议进行通讯 UDP协议:直接发送包                关于tcpdump 关于wireshark 实例:通过tcpdump+wireshark抓取访问百度的数据包  

  • suricata 学习笔记2022-01-30 12:31:35

    1. 参考资料: (1)suricata架构——数据结构和代码流程图解  https://blog.csdn.net/gengzhikui1992/article/details/103031874 (这里面几张图很不错,方便结构理解,另外解释了 行锁,全局的nf_conntrack_lock) 行锁:行级锁哈希表则每一行都有一把锁,内存开销大,实现复杂,但是在大并发,高效率

  • 组播数据包丢失故障排除指南2022-01-29 21:34:19

    介绍 本文档的目的是帮助找出丢失组播数据包的原因并进行一些调整以尽量减少此类丢失。 组播数据包丢失的原因有多种。 UDP 协议本身牺牲了性能的可靠性,并且不保证数据报的传递。 因此,数据包在网络传输过程中可能会丢失。 即使数据包到达网络节点,也并不总是意味着应用程序接

  • 北邮 网络安全 期末复习 知识点总结之ARP攻击2022-01-27 20:58:00

        ARP攻击 嗅探技术 收集有用数据信息的网络监听方法,以太网嗅探,网卡一般有四种接收工作模式 广播模式:接收局域网内目的地址为广播地址的数据包 多播模式:接收目的地址为多播地址的数据包 直接模式/单播模式:只接收目的地址为本机MAC的所有数据包 混杂模式:接收通过网卡的所有数

  • c语言中sizeof的坑2022-01-26 11:04:54

    为了方便修改通信数据包的格式 我把数据包放在了外部文件中,在定时中断;里却发现无法通过编译 error: invalid application of 'sizeof' to incomplete type 'uint8_t[]' {aka 'unsigned char[]'} 经查,sizeof不能就算extern变量   老老实实的把数据包定义放在sizeof出现的文件中

  • WinPcap网络编程入门——0. 环境配置及系列介绍2022-01-26 10:35:25

    WinPcap网络编程入门——0. 环境配置及系列介绍 系列教程章节直达: Winpcap网络编程入门——1. 获取设备列表; 说明:本教程适用于网络编程开发人员入门,将从底层分析 WinPcap 的执行过程,结合一些实际用例来学习 WinPcap网络编程。 Pcap 技术是网络底层开发的重要工具之一,允许应

  • Linux查看实时网卡流量的几种方式2022-01-24 18:33:19

    在工作中,我们经常需要查看服务器的实时网卡流量。通常,我们会通过这几种方式查看Linux服务器的实时网卡流量。 1. sar -n DEV 1 2 sar命令包含在sysstat工具包中,提供系统的众多统计数据。其在不同的系统上命令有些差异,某些系统提供的sar支持基于网络接口的数据统计,也可以查看设备

  • OVS与OVS+DPDK架构分析2022-01-22 09:07:36

    OVS内核态架构图  内核态与用户态交互 OVS的架構分成快速路徑(fast path)與慢速路徑(slow path) 快速路徑是內核空間(kernel space)的openvswitch.ko 慢速路徑是用戶空間(user space)的ovs-vswitchd OVS+DPDK架构    dpif-netdev:用户态的快速通路,实现了基于netdev设备的dpi

  • 2022-01-21 通过TTL值判断系统类型2022-01-21 13:04:11

      通过TTL值判断是什么系统: TTL(Time To Live,生存时间)是IP协议包中的一个值,当我们使用Ping命令进行网络连通测试或者是测试网速的时候,本地计算机会向目的主机发送数据包,但是有的数据包会因为一些特殊的原因不能正常传送到目的主机,如果没有设置TTL值的话,数据包会一直在网络上面传送

  • 唤醒手腕Python全栈工程师学习笔记(通信协议篇)2022-01-20 12:31:58

    01、互联网的本质介绍 互联网的本质就是一系列的网络协议 然而internet为何物? 其实两台计算机之间通信与两个人打电话之间通信的原理是一样的(中国有很多地区,不同的地区有不同的方言,为了全中国人都可以听懂,大家统一讲普通话) 结论:英语成为世界上所有人通信的统一标准,如果把计算

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有