ICode9

精准搜索请尝试: 精确搜索
  • EDA 自动化库:SpeedML2022-09-02 08:33:26

    EDA 自动化库:SpeedML 必须知道的库之一,才能拥有深刻的 EDA! 在数据科学领域,我们知道探索性数据分析或 EDA 是最重要和最耗时的部分,并且要拥有可用于模型的数据,我们必须花费大量时间来理解和处理数据。 SpeedML 是一个用于快速启动机器学习管道的 python 包。 SpeedML 导入并正确初

  • 立创EDA使用笔记2022-07-25 13:04:54

    立创EDA 在立创EDA上制作的PCB可以直接导入到嘉立创下单, 每个注册用户每个月可以下两个免费订单, 限制PCB尺寸10CMx10CM, 每单五片, 连制作到快递全部免费, 非常适合做样板. 立创网站 https://lceda.cn/, SCH 线路 线路图没什么好说的, 制作PCB前重要但是不费事的一个步骤. 过程

  • 第一章问答2022-06-07 20:01:09

    问答_记关键词 Q1:EDA 技术与ASIC 设计和FPGA 开发有什么关系? A1:1.利用EDA技术进行电子系统设计的最后目标是完成专用集成电路ASIC 的设计和实现;2.FPGA和CPLD是实现这一途径的主流器件。 Q2:FPGA在ASIC设计中有什么用途? A2:FPGA是实现ASIC 设计的现场可编程器件。 Q3:与软件描述语

  • 立创eda入门2022-03-19 18:00:09

    这个学期要修一门电子线路制图的课,用到了立创eda,有些收获,故在此记下。 1.原理图 这个刚开始都是老师给,学生照着画就行,所以就简单说一下怎么找元器件。 像一般的电阻,电容,电感都可以在这找到,如果有封装要求的话,就要注意选择了。 要找芯片等元器件,就可以选择常用库下面的元件库:

  • 如何在“浏览器”里实现一个云端EDA2022-02-20 14:58:36

    本文介绍了一种在浏览器里编辑代码、仿真、看log、看波形的方法。 django介绍 django是一个由python实现的web后端框架。这里“后端”就是指在服务器上执行的程序(前端程序在浏览器里执行)。django采用了 MVT 的软件设计模式,即模型(Model),视图(View)和模板(Template)。这种MVT的设计思想

  • 立创eda学习笔记八:水平翻转和垂直翻转2022-02-08 22:30:18

    一个元器件,它的实物本身是不能翻转的,因为它的外形是固定的,你可以旋转它,但是你不能得到它的镜像,所以在画pcb的时候器件不能翻转,水平翻转和垂直翻转在画原理图的时候比较有用,可以方便器件的整体摆放。 翻转的方式: 1、选中器件 2、点格式 3、选择翻转 也可以选中器件后直接按快

  • 蓝桥杯eda训练2022-02-01 23:05:45

    22022年2月1日完成了蓝桥杯eda训练三题目 ![蓝桥杯训练三pcb完成图](https://img-blog.csdnimg.cn/22ea9de27b8143e6a55fa0475c11c008.png?x-oss-process=image/watermark,type_d3F5LXplbmhlaQ,shadow_50,text_Q1NETiBA5bqX5bqGX2tr,size_20,color_FFFFFF,t_70,g_se,x_16)

  • 立创eda快捷键2022-01-30 18:58:22

      默认的快捷键列表如下。共用快捷键文档类型快捷键功能所有Space(空格键)旋转所选图形所有鼠标右键长按右键拖动画布;操作过程中按一下右键释放操作’所有Left向左滚动或左移所选图形所有Right向右滚动或右移所选图形所有Up向

  • EDA实验(Quartus Ⅱ+fpga) (二)---时序电路的设计-模可变计数器设计2022-01-23 21:01:58

    前言: 本文主要介绍了EDA原理与应用这门课程的相关实验及代码。使用的软件是Quartus Ⅱ,该实验使用fpga芯片为cycloneⅤ 5CSEMA5F31C6。 (一)实验目的 (1)进一步熟悉EDA开发板和QuartusⅡ软件的使用方法。 (2)学习静态数码管的使用和7段数码显示译码器设计; (3)掌握时钟在时序电路中的作

  • AI芯片设计流程2022-01-23 06:00:07

    AI芯片设计流程      对于消费者,一个可以使用的系统,有数字集成电路部分、模拟集成电路部分、系统软件及上层应用部分。关于各个部分的功能,借用IC 咖啡胡总的精品图可以一目了然。外部世界是一个模拟世界,故所有需要与外部世界接口的部分都需要模拟集成电路,模拟集成电路将采集到

  • 布匹瑕疵检测数据集EDA分析2022-01-19 17:32:59

    分析数据集中 train 集的每个类别的 bboxes 数量分布情况。因为训练集分了两个:train1,train2。先根据两个数据集的 anno_train.json 文件分析类别分布。数据集:布匹瑕疵检测数据集-阿里云天池 (aliyun.com) 数据集 bbox数量 缺陷图片数量 正常图片数量 train1 7728 4774 2538

  • 【数字IC第一步】Linux系统安装(含常用IC软件)2022-01-15 12:31:32

    为了简易入手,本虚拟机系统集成了主流IC软件。 资源来自网络,也当共享于网络。如有需要,请留邮箱。    目录 前言       一、VMware安装 二、VMware运行  三、软件介绍  后记 前言               采用最新虚拟软件VMware12 Pro安装的EDA虚拟机系统,操作系统使

  • Synopsys工具安装之一 【Installer】2022-01-11 13:33:32

    Synopsys工具安装之一 【Installer】 前言一、如何下载installer ?二、如何安装installer ?1.修改执行权限2.执行安装 前言 synopsys为eda工具安装,提供了一个安装器,名叫installer。所以,首先要先安装installer这个工具,之后才能安装其他的eda工具。首先从官网下载该installe

  • 立创eda学习笔记三:pcb购买2021-12-26 11:04:25

    购买的下单界面有一些选项:                一些讲解:            

  • 数字逻辑实践3->EDA技术与Verilog设计2021-11-23 16:06:47

    本文属于EDA技术概述类文章。对EDA技术现状进行介绍。 1 EDA技术及其发展 概念 EDA(Electronic Design Automation),指的是以计算机为工作平台,以EDA软件工具为开发环境,以PLD期间或者ASIC专用集成电路为目标期间设计实现电路系统的一种技术。 电子CAD(Computer Aided Design) 狭义的

  • 数字逻辑实践3->EDA技术与Verilog设计2021-11-23 13:33:31

    本文属于EDA技术概述类文章 1 EDA技术及其发展 概念 EDA(Electronic Design Automation),指的是以计算机为工作平台,以EDA软件工具为开发环境,以PLD期间或者ASIC专用集成电路为目标期间设计实现电路系统的一种技术。 电子CAD(Computer Aided Design) 狭义的CAD 偏重于“制图”和“建

  • EDA和数据挖掘实战:漫威与 DC电影收视率和票房分析2021-11-12 10:02:26

    MCU 与 DC 哪一个更好? 哪个电影收视率更高? 本篇文章将基于总票房和评分对漫威和 DC 电影进行分析 Marvel Cinematic vs DC Universe哪个更好,这是一场永无止境的辩论,对吧? 当你反对这些电影的任何一个时,粉丝会变得疯狂。 在本篇文章中,我们将根据一些数据来对比Marvel 与 DC , 数

  • 计划Synospys家EDA工具培训的Outline2021-10-20 15:04:15

    1、以同步FIFO为例,利用Gvim进行RTL coding。 2、利用Spyglass对RTL代码进行Lint/CDC check。 3、利用VCS和Verdi进行仿真和Debug。 4、利用DC对RTL进行逻辑综合,生成Netlist。 5、利用Formality对Netlist做一致性检查。 6、利用Primetime 对设计进行STA。

  • EDA 事件驱动架构与 EventBridge 二三事2021-10-15 14:03:43

    ​简介: 事件驱动型架构 (EDA) 方兴未艾,作为一种 Serverless 化的应用概念对云原生架构具有着深远影响。当我们讨论到一个具体架构时,首当其冲的是它的发展是否具有技术先进性。这里从我们熟悉的 MVC 架构,SOA 架构谈起,聊一聊关于消息事件领域的历史与发展趋势。 作者|肯梦 当下比较

  • 数据挖掘(一)——数据探索(EDA)2021-09-12 16:30:09

    一 简介    数据挖掘比赛的第一步不是想用什么模型,什么方法,而是先了解赛题的背景。你需要仔细阅读官方给出的赛题背景,然后查阅相关资料,对问题场景做深入的理解。我们可能对机器学习和数据挖掘的理论知识掌握的很好,但缺乏对具体的问题场景理解。    在了解赛题背景之后很

  • EDA可编程逻辑器件基础(PLD分类介绍)2021-09-09 20:59:27

            专用集成电路(ASIC):面向专门用途而区别于标准逻辑电路、通用存储器及通用微处理器电路的IC,它是根据某一用户的特定要求,能以低制作成本、短交货周期供货的半定制、定制电路以及PLD和FPGA电路。         可编程逻辑器件(PLD):是作为专用集成电路ASIC领域中的一种半定制

  • 牛客 - 合并回文子串2021-08-25 11:02:03

    原题:合并回文子串 (nowcoder.com) 题意:输入两个字符串a和b,要求将其合并成字符串c,同时保持a和b中字符顺序不变,求能合成的最长回文子串长度。 分析:区间dp,具体看代码注解。 题解: #include <bits/stdc++.h> using namespace std; const int N=55; bool dp[N][N][N][N];//意思是a字符

  • 缺人!缺钱!赶时间!初创IC设计公司如何“绝地求生”?2021-08-25 11:00:06

      过去2-3年,半导体行业初创公司如雨后春笋般冒出来。 有从大企业团队独立出来创建的新芯片设计公司,有来自海内外高校和科研机构的商业化项目,甚至还有跨界公司专门成立了一个较小的芯片部门试水,希望快速出结果。   我们对IC设计初创公司的定义:   1、成立时间0-2年 2、还没有IPO

  • 探索性数据分析(EDA)为什么在机器学习中至关重要?2021-08-02 09:30:37

    探索性数据分析(EDA)为什么在机器学习中至关重要? 数据驱动组织的座右铭“If you can't measure it, you can't fix it”。 “我们必须了解我们的数据,然后再试图让机器去理解我们的数据,直接把问题甩给机器是极其不负责任的行为” EDA(Exploratory Data Analysis),全名数据探索性分析,

  • EDA 实验报告2021-07-16 16:02:17

    一、设计题目说明 题目九:出租车计价器设计(平台实现)★★ 完成简易出租车计价器设计,选做停车等待计价功能。 基本功能: 1)起步8元/3公里,此后2元/公里; 2)里程指示信号为每前进50米一个高电平脉冲,上升沿有效;显示行驶公里数,精确到0.1公里。 4)前进里程开始之前显示价钱,精确到0.1元; 5)用

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有