ICode9

精准搜索请尝试: 精确搜索
  • DDS2022-08-05 10:05:24

    DDS和SOMEIP的对比 DDS-TSN1(1)-VS SOME/IP - 知乎 (zhihu.com)

  • 【AutoSAR AP】通信管理 - Communication Management(CM)浅析2022-07-21 21:33:28

    概述 AutoSAR 自适应平台的CM组件为应用程序之间提供了独立于网络和协议的应用间通信服务,CM功能包括服务发现和应用间通信。 服务发现,主要用于ECU间,具体包括服务查找(find_service)和服务注册(offer_service) 应用间通信,有三种方式,Event,Method和Field,Event一般用于单向数据的收

  • 彻底理解DDS(信号发生器)的fpga实现(verilog设计代码)2022-07-14 10:31:11

    DDS(Direct Digital Synthesis)是一种把一系列数字信号通过D/A转换器转换成模拟信号的数字合成技术。 它有查表法和计算法两种基本合成方法。在这里主要记录DDS查表法的fpga实现。 查表法:由于ROM查询法结构简单,只需要在ROM中存放不同相位对应的幅度序列,然后通过相位累加器的输出对其

  • 【Zeekr_Tech】ROS/ROS 2介绍2022-04-22 13:33:03

    极氪软件及电子中心王阳明 前言 ROS是机器人操作系统(Robot Operating System)的英文缩写。ROS是用于编写机器人软件程序的一种具有高度灵活性的软件架构。ROS的原型源自斯坦福大学的STanford Artificial Intelligence Robot (STAIR) 和 Personal Robotics (PR)项目。 机器人的工业

  • AD9833资料收集2022-02-21 10:02:29

    [DDS] AD9833原理介绍及chiliDDS驱动分享(上) - 电子设计论坛 - 21ic电子技术开发论坛AD9833 | 直接数字频率合成器(DDS) | 亚德诺(ADI)半导体  

  • (DDS)正弦波形发生器——幅值、频率、相位可调(一)2022-02-06 12:01:04

    (DDS)正弦波形发生器——幅值、频率、相位可调 一、项目任务: 设计一个幅值、频率、相位均可调的正弦波发生器。 频率每次增加1kHz。 相位每次增加 2*PI/256 幅值每次增加两倍 二、文章内容: DDS的核心原理。 分别使用两种方式完成频率可调(a、b),并且进行对比(c),最后对b进行优化(d)

  • 【生信分析】Analyzing RNA-seq data with DESeq2:输入数据和差异表达分析2022-01-21 20:02:00

    Analyzing RNA-seq data with DESeq2:输入数据和差异表达分析 基于DESeq2分析RNA-seq数据Abstract标准流程快速上手如何获取DESeq2的帮助致谢资金支持 输入数据为何必须输入非标准化(非均一化)的counts值?DESeqDataSet导入转录本丰度文件以及tximport文件生成的矩阵Tximeta用于

  • 云图说|DDS读写两步走,带您领略只读节点的风采2022-01-21 15:03:18

    摘要:为了扩展主节点的读请求能力,DDS提供具备独立连接地址的只读节点,适合独立系统直连访问,以缓解大量读请求给主节点造成的压力。 本文分享自华为云社区《【云图说】第235期 DDS读写两步走 带您领略只读节点的风采》,作者: 阅识风云 。 为了扩展主节点的读请求能力,DDS提供具备独立连

  • WinDbg常用命令系列---内存数据显示和对应符号显示d*s(dds、dps、dqs)2022-01-19 22:33:28

    命令dds, dps,  dqs显示给定范围内的内存内容。假定该内存是符号表中的一系列地址。相应的符号也会显示出来。 1 2 3 dds [Options] [Range] dqs [Options] [Range] dps [Options] [Range] 参数: Options指定一个或多个显示选项。可以包括以下任何选项,但不能指

  • FPGA之DDS信号发生器(个人学习参考)2022-01-10 23:01:32

    DDS是直接数字式频率合成器(Direct Digital Synthesizer)的英文缩写,是一项关键的数字化技术   DDS的基本结构主要由相位累加器、相位调制器、波形数据表ROM、D/A转换器等四大结构组成,其中较多设计还会在数模转换器之后增加一个低通滤波器。DDS结构示意图,具体见图 33-1   图 33-1

  • FastDDS 安装过程的坑2021-11-12 21:03:20

    第一次遇到这么坎坷的安装过程,几乎没有一步能顺利走下去,不论选择了哪条路

  • 工业级数据分发服务DDS简介及安全特性分析2021-10-30 20:04:41

    一.DDS介绍 1.1.DDS的来源 DDS即Data Distribution Service,是位于应用程序与操作系统之间的中间件,在互联网的工业应用背景下正被广泛使用。随着互联网和软件技术的发展,不断丰富的信息改变了数据服务的模式、服务的类型和服务的质量,加上工业应用对性能、安全性和可扩展性有非常严

  • 简易DDS信号发生器的设计与验证(征途pro学习笔记)2021-09-11 19:58:47

    DDS简介 Direct Digital Synthersizer DDS是直接数字式频率合成器,是一项关键的数字化技术。 与传统的频率合成器相比,DDS具有低成本、低功耗、高分辨率和快速转换时间等优点。 简称信号发生器。 CLK是整个系统的工作时钟,定义为Fclk。 clk_out定义为信号输出,Fout。 频率字输入

  • ROS2学习之旅(1)——初识ROS22021-07-10 22:01:37

    本系列用来记录ROS2的学习过程,有错误或者不合理的地方请大家指正。由于博主具有ROS1的学习经历,会添加一些与ROS1的一些对比,当然这对于ROS2本身的学习内容没有丝毫影响,欢迎大家积极与我在评论区交流。如果给您带来帮助,希望能给点个关注,以后还会陆续更新有关机器人的内容,点个关注不

  • 基于FPGA的DDS在Gowin和combat开发板的实现(序)2021-07-09 12:02:07

    前言         在全球市场中,Xilinx、Altera两大公司对FPGA的技术与市场仍然占据绝对垄断地位。两家公司占有将近90%市场份额,专利达6000余项之多,而且这种垄断仍在加强。近几年FPGA作为“中国强芯”战略产品的重头戏之一,国内FPGA厂商如高云半导体、紫光同创、上海安路、京

  • 基于动态数据源的SAAS系统(超详细,附源码)2021-07-06 13:02:34

    一.什么是SAAS系统      SAAS全称 Software as a Service,软件即服务。本人接触SAAS也在近两年;在我的理解,SAAS不是特指某种系统,它是提供某类产品的系统服务平台,让第三方公司可以直接在平台上租用一个相对独立的系统在线使用,比如OA,ERP等各类管理系统。SAAS概念出来之前,公司想要一

  • 物联网协议对比(HTTP、websocket、XMPP、COAP、MQTT和DDS协议)2021-06-29 10:51:59

    目录 1、HTTP和websocket 2、XMPP 3、COAP 4、MQTT协议 5、DDS 对于物联网,最重要的是在互联网中设备与设备的通讯,现在物联网在internet通信中比较常见的通讯协议包括:HTTP、websocket、XMPP、COAP、MQTT 1、HTTP和websocket 在互联网时代,TCP/IP协议已经一统江湖,现在的物联网的通信

  • FPGA--简易DDS信号发生器 (内涵DDS与CORDIC IP核详解)附源码2021-06-22 15:00:54

    学习内容 简易DDS信号发生器的设计与验证,产生所需的正弦波、方波锯齿波,并进行verilog验证。 实现功能 可以通过按键控制来输出不同的波形。 开发环境 xilinx spartan6开发板、ISE14.7、modelsim10.5、verilog DDS相关知识 DDS 是直接数字式频率合成器(Direct Digital Synthesi

  • DDS与DA2021-06-16 22:32:18

    信号发生器 信号发生器是一种能提供各种频率、输出电平的电信号的设备,又称信号源或振荡器。其在各种 电信系统的振幅、频率、传输特性等电参数以及元器件的特性与参数的测量中得到了广泛的应用。 直接数字式频率合成器 ( Direct Digital Synthesizer,DDS)是一项关键的数字化

  • vivado DDS核使用2021-06-03 12:02:16

    图1:DDS结构 几个重要参数: :频率分辨率 :系统时钟 :输出波形频率 :相位增量 :相位累加器位宽(正整数)   有公式: 当时钟频率为100M,想输出1M频率,频率分辨率为0.001的正弦波,相位增量的计算为:

  • 51驱动AD9850/AD9851—DDS信号发生器2021-06-03 11:29:35

    51驱动AD9850/AD9851—DDS信号发生器 AD9850/AD9851的简介主要功能特点:AD9850 和 AD9851 主要区别原理图 DDS输出波形的灵活性AD9850/AD9851 模块的输出波形 程序介绍实验接线调试结果AD9851的程序设计 总结 AD9850/AD9851的简介 AD9850/AD9851 模块是采用 ADI 应用最广泛

  • RDS、DDS和GaussDB理不清?看这一篇足够了!2021-05-26 23:52:28

    当前,华为云提供的数据库服务主要包括三大类:关系型数据库服务,非关系型数据库服务以及数据库工具服务。如下图所示:关系型数据库和非关系型数据库均可分为开源和自研两大类。其中,自研数据库统一为GaussDB系列,开源数据库则可以分为RDS和DDS社区版。关系型数据库RDS:开源版本的云上优化RD

  • 云图说|小云妹-DDS实例安全基本操作2021-05-26 22:55:37

    数据库什么最重要?当然是安全了~小云妹这期给大家带来文档数据库DDS实例的一些安全基本操作,赶快get起来~ 下面通过“云图说”手把手教您设置数据库,提升安全系数: 点击“了解更多”,文档数据库服务DDS等着您!

  • 【数据分发服务DDS】软件定义汽车【五】-中央计算单元架构2021-04-12 16:57:10

    引言 前几篇更多是从全局的视角阐述软件定义汽车,但写这个系列并不只是为了介绍软件架构,也不是为了给大家推销理念或普及概念,而是为了构建一张完整的全系统知识图谱,系统性的探讨在实现过程中的各种技术问题。按照我的想法,后续工作将按以下两个阶段进行: 设计阶段开源实施阶段

  • 图形API学习工程(24):D3D11读取非DDS格式的CubeMap2021-03-29 19:33:21

    工程GIT地址:https://gitee.com/yaksue/yaksue-graphics 目标 在《图形API学习工程(21):使用CubeMap纹理》中,由于DirectX读取CubeMap的教程范例都是DDS格式的纹理,因此我也首先实现了DDS的版本,期望之后做处理。 上一篇使D3D12可以用非DDS格式的CubeMap了,本篇目标将是D3D11。 分析

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有