ICode9

精准搜索请尝试: 精确搜索
  • Quartus II2021-06-05 10:02:32

    Quartus II可以在Windows、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。 Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的

  • FPGA:Quartus II出现的Error (35000)解决2021-05-24 12:33:03

    Quartus II出现的Error (35000)解决 错误:ERROR 35000 在Quartus II 13.0上分析综合时出现以下问题,在网上没有找到相关资料。 Error (35000): Port “dclk_dev~1” does not exist in the interface of the partition “Top”, but another partition attempted to connect to

  • 2021-05-212021-05-21 23:31:14

    实验步骤: (1)新建Quartus设计工程,编写程序 (2)编译工程,没有错误就继续 (3)形成TB文件 (4)编辑TB文件 (5)复制文件名,设置simulation (6)用Modelsim仿真 实验截图: 实验视频链接 https://b23.tv/ltth1z

  • 2021-05-202021-05-20 22:34:04

    Quartus II 13.0 的安装、破解教程(包含下载地址) 一、链接:https://pan.baidu.com/s/1t3WeyAKunUmN0QT6wTdzBA 提取码:vxca 建立一个文件夹(自己命名,我的是Quartus),三个都需要下载,cyclone-13.0.1.232.qdz后面需要修改保存位置(Quartus->quartus->bin) 二、 详细的安装过程: 打开

  • 基于 Nios II 的串口打印和流水灯设计【使用 Quartus 软件】【掌握 SOPC 开发流程】2021-04-23 17:58:37

    目录 一、前言二、实验步骤第一步:硬件部分设计1)建立新项目2)进行 Qsys 系统设计3)完成 Qsys 设计的后续工作4)原理图设计5)编译工程及物理针脚分配。 第二步:软件部分设计1)启动 Nios II SBT2)创建工程3)运行项目 三、实验总结四、参考资料 一、前言 实验目的 (1)学习 Quartus II

  • Quartus-II实现 D 触发器及时序波形仿真2021-04-08 23:01:03

    文章目录 一、D触发器简介二、门电路设计1. 创建一个工程文件2.创建工程2.1【File】→【New Project Wizard…】2.2 点击【Next >】2.3选择芯片EP4CE10F17C8,Next2.4 Finish 一、D触发器简介 D触发器是一种最简单的触发器,在触发边沿到来时,将输入端的值存入其中,并且这个

  • 基于Quartus-II的全加器设计2021-04-07 17:32:37

    基于Quartus-II的全加器设计 目录一、全加器1、概念简述2、真值表 二、原理图与VHDL设计初步1、新建工程2、新建原理图文件3、将项目设为可调用的元件4、半加器仿真5、设计全加器顶层文件6、设计项目设置为顶层文件并编译仿真7、引脚绑定以及硬件下载测试 三、总结与参考资

  • Quartus-II 13的安装2021-04-05 17:31:33

    Quartus-II 13的安装、注册 一、安装步骤 (一)双击可执行文件 (二)直接next,选择文件路径 (三)默认勾选内容,点击next (四)点击next,等待安装 (五)点击finish (六)关闭弹框 点击ok,安装完成 二、注册 (一)所需文件: 链接:https://pan.baidu.com/s/1LOkRyJsYYPpvNtXZSG9Nrw 提取码:xwzz (二)将Quar

  • Quartus II 13.1 安装步骤详解2021-03-31 17:03:06

    Quartus II 13.1 安装步骤详解 目录一、quartus下载二、quartus安装三、quartus注册四、总结与参考资料1、总结2、参考资料 目录 本篇文章主要介绍Quartus II 13.1的安装以及相关的简易使用。 一、quartus下载 百度网盘下载链接: https://pan.baidu.com/s/1vaMfrHw4Ifa

  • Quartus-II 13.1 详细安装、注册、配置步骤2021-03-31 16:32:44

    目录 一、Quartus-II 下载及安装二、Quartus-ll 注册三、Quartus-ll 配置驱动四、总结五、参考资料 本文内容:quartus 是 FPGA 设计开发软件,也就是集成复杂的门电路的设计软件。本文对 quartus 进行安装、注册、配置。 一、Quartus-II 下载及安装 百度网盘下载安装包链

  • Quartus ii安装及使用实验报告2021-03-15 17:59:14

    Quartus ii安装及使用实验报告 1.实验目的: 下载Quartus ii软件并进行图片仿真和代码仿真 2.实验原理 3.实用工具 pc机和Quartus ii软件 4.实验截图 5.实验视频 下载哔哩哔哩,搜索https://b23.tv/IdwH93即可查看视频 6.实验代码 module example(x1,x2,s,f); input x1,x2,s;

  • Quartus计算机组成与设计实验原理图整理(五)——四位补码运算器2021-01-16 18:01:31

    1、实验内容及说明 本实验要求设计一个能够实现补码加法、减法、左移、右移、直接传送等功能的四位补码运算器,图5为四位补码运算器的电路框图,图中,移位器具有左移、右移和直接传送功能。 实验时,图5中的元件可直接调用,其中R0、R1、R2四位寄存器可直接调用元件库中的4D寄存器74

  • FPGA学习之路——(一)Quartus II17.1安装教程2021-01-03 23:29:54

    前言 书山有路勤为径,学海无涯苦作舟。 一、安装Quartus II 17.1 1、软件准备 Quartus II目前来说已经更新了很多版本,经过安装,卸载,再安装,再卸载,多次试验和比较,最终还是觉得Quartus II 17.1比较适合,软件在各大浏览器均可轻易找到,我们首先在任意浏览器中下载到完整软件如下: 2、

  • 很详细的Quartus软件的SignalTap 使用教程2020-12-09 17:58:29

    SignalTap 使用教程 SignalTap 的原理 SignalTap 与外部逻辑分析仪功能类似,主要用来分析数据的变化。但 SignalTap II 是利用 FPGA 内部的逻辑单元以及 RAM 资源实时地捕捉和显示实时信号,所以需要消耗一定的 FPGA 内部资源。与 Modelsim 仿真不同之处在于,SignalTap II 要与硬

  • 2020-11-202020-11-26 21:00:57

    FPGA入门笔记 安装Quartus II并创建工程本篇文章只适用于初学者,如有雷同联系作者侵删~ 下载链接:https://pan.baidu.com/s/11msYgwbDZykVuJZxg6C1zw 提取码:bx83 复制这段内容后打开百度网盘手机App,操作更方便哦~ 学生党在机房应该都有这个软件安装包,下载好之后创建工程: 打开

  • 基于Quartus的简单16位CPU(Verilog)2020-09-28 09:00:32

    代码可自取。Github地址:https://github.com/ZMY0312/CPU16            

  • Quartus II 13.1 的安装2020-09-23 16:01:15

    1.下载: 链接:https://pan.baidu.com/s/1T-Uq88Hj2o6PhsWDSSUINw  提取码:d0z2 2.安装: 2.1 点击 QuartusSetup-13.1.0.162.exe 进行安装(半小时时间吧)   中途注意:    2.2 破解: C:\Users\Administrator\Desktop\【Quartus II 13.1安装文件】★★先看群公告\【Quartus II 13.1安装

  • quartus之LPM_DIVIDE2020-08-06 23:33:03

    quartus的IP测试之LPM_DIVIDE 1、基本作用 一个用于除法的IP,可以输入除数、被除数,得到商、余值。 2、基本测试 `timescale 1ns/1ns module divide_tb; reg [7:0]denom; reg [7:0]numer; wire [7:0] quotient; wire [7:0] remain; initial begin $monitor($r

  • Quartus II 12.0傻瓜版安装教程(附下载链接)2020-07-04 21:35:26

    Quartus II是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。电子电工课程设计中需要学习使用该软件,安装比较繁琐

  • 如何使用Quartus添加外部编辑器GVIM2020-06-13 19:40:48

    Quartus添加外部编辑器GVIM【亲测Quartus Prime 18.1】Quartus Prime 18.1添加外部编辑器GVIM Quartus Prime 18.1添加外部编辑器GVIM 由于Quartus自带的编辑器并不是特别地好用,而且也容易存在中文注释乱码等情况,故可以在Quartus中添加外部编辑器GVIM,从而在打开文件进行编辑

  • Quartus II 中常见问题以及其解决方法(持续更新)2020-03-10 17:37:15

    前言 亲爱的小伙伴们,很高兴我们又见面啦!由于前几天在Quartus II 和Modelsim软件中摸石头过河,没有额外的心力来更博,但本着今天有一小段空闲时间的原则以及分享我在软件操作中出现的问题,于是我再总结了一些常见问题及其解决方法后,我又来了! (一)拼写错误(VHDL syntax error at ×

  • 初次使用Quartus2020-02-03 10:02:17

    第一次编译Failed,Flow Message显示Error (12007): Top-level design entity "testProject" is undefined 原因 verilog文件(.v)里的模块名和顶层实体名(Top-level design entity,一般就是.v文件的文件名)不一致。 使用RTL viewer可以查看代码对应的电路图

  • Quartus II 13.0免费版本2020-01-13 17:40:12

    Quartus II 13.0网络版本 刚学习Quartus,要是用简单的器件,写一些简单的代码,没必要破解来破解去,用web版本就行了,还带有仿真。 为了节省大家时间,不用去官网注册这么麻烦,我特意从intel官网下载下来,不挂校园网是真的满,现在我放上网盘,需要的自取即可。 包含器件 链接:https://pan.

  • 应力波通信系统实现(四)——通信信号处理技巧2019-09-01 11:52:50

    扩频调制与DDS工具使用 【基础】Modelsim的基本使用 Modelsim仿真如何查看内部信号 通过文件读写方式实现Matlab和Modelsim的联合仿真 Matlab和Modelsim联合仿真 彻底掌握Quartus——Signaltap篇   ----转载需获得Wayne Khou同意----

  • quartus ii 13.0下载地址2019-07-25 11:03:46

    原文链接:http://www.cnblogs.com/nios_ii/archive/2013/05/20/3089454.html http://download.altera.com/akdlm/software/acdsinst/13.0/156/ib_tar/Quartus-13.0.0.156-windows.tar      2.99GB http://download.altera.com/akdlm/software/acdsin

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有