ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

AcWing 费解的开关 二进制枚举

2020-04-24 17:56:01  阅读:174  来源: 互联网

标签:二进制 res ++ bk int 枚举 step op AcWing


 

 

 解题思路:每一行每一个开关是否需要操作完全由上一行灯的亮灭状态所决定。

所以用二进制枚举第一行开关的操作情况。

第一行灯的亮灭状态确定后,整个5*5所有灯的亮灭状态就确定了。

因为最后一行没有下一行了,所以判断最后一行是否全为亮,若不全为亮就是不合题意。

 1 #include <bits/stdc++.h>
 2 using namespace std;
 3 const int N = 6;
 4 char g[N][N], bk[N][N]; 
 5 int dx[5] = {-1, 0, 1, 0, 0};
 6 int dy[5] = {0, 1, 0, -1, 0};
 7 void turn(int x, int y) {
 8     for (int i = 0; i < 5; i++) {
 9         int a = x + dx[i];
10         int b = y + dy[i];
11         if (a < 0 || a >= 5 || b < 0 || b >= 5) {
12             continue;
13         }
14         g[a][b] ^= 1;
15     }
16 }
17 int main() {
18     int T;
19     cin >> T;
20     while (T--) {
21         for (int i = 0; i < 5; i++) {
22             cin >> g[i];
23         }
24         int res = 10;
25         for (int op = 0; op < 32; op++) {
26             memcpy(bk, g, sizeof g);
27             int step = 0;
28             for (int i = 0; i < 5; i++) {
29                 if (op >> i & 1) {
30                     step++;
31                     turn(0, i);
32                 }
33             }
34             for (int i = 0; i < 4; i++) {
35                 for (int j = 0; j < 5; j++) {
36                     if (g[i][j] == '0') {
37                          step++;
38                          turn(i + 1, j);
39                      } 
40                 }
41             }
42             bool flag = false;
43             for (int i = 0; i < 5; i++) {
44                 if (g[4][i] == '0') {
45                     flag = true;
46                     break;
47                 }
48             }
49             if (!flag) {
50                 res = min(res, step);
51             }
52             memcpy(g, bk, sizeof bk);
53         }
54         if (res > 6) {
55             res = -1;
56         }
57         cout << res << endl;
58     }
59     return 0;
60 }

标签:二进制,res,++,bk,int,枚举,step,op,AcWing
来源: https://www.cnblogs.com/fx1998/p/12767815.html

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有