ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

36行Verilog写了个电脑:-)

2021-12-25 02:05:58  阅读:221  来源: 互联网

标签:end rom clk 电脑 36 immd Verilog reg op


module cpu(input[31:0] clk, input[55:0] rom);
reg[7:0]    inst;    // instruction
reg[1:0]    op;      // op code
reg         ri;      // register index
reg[4:0]    immd;    // immediate number
reg[4:0]    rf[2];   // register file
wire        s;       // add caused <0?
reg[4:0]    pc;      // program counter
always @(clk) begin
    $monitor("%b %b %b %b", inst, rf[0], rf[1], s);
    pc = clk ? pc : 0;
    inst = rom >> (48 - pc * 8);
    op = inst[7:6]; ri = inst[5]; immd = inst[4:0];
    if (op == 'b00) begin rf[ri] = immd; pc++; end
    else if (op == 'b01) begin rf[ri] += immd; pc++; end
    else if (op == 'b10) pc += s ? immd : 1;
    else if (op == 'b11) begin
        if (!immd) $finish();
        pc += immd;
    end
end
assign s = (rf[0][4] | rf[1][4]);
endmodule
// How to pass array structure between two verilog modules?
// https://stackoverflow.com/questions/16369698/how-to-pass-array-structure-between-two-verilog-modules
// This is not possible in Verilog. (See sec. 12.3.3, Syntax 12-4 of the Verilog 2005 standard document, IEEE Std. 1364-2005.)
module suanpan;
reg[55:0]  rom[1];
integer    clk;
initial begin
$readmemb("rom.txt", rom);
$display("rom.txt: %b", rom[0]);
for(clk = 0; clk < 100; clk++) #1;
end
cpu cpu(clk, rom[0]);
endmodule

俺会写触发器: https://www.cnblogs.com/funwithwords/p/15728493.html

标签:end,rom,clk,电脑,36,immd,Verilog,reg,op
来源: https://www.cnblogs.com/funwithwords/p/15729702.html

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有