ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

日常记录(9)异步FIFO相关

2021-12-13 14:31:38  阅读:170  来源: 互联网

标签:异步 binary grey FIFO wbin wfull wbnext 日常


格雷码转换

格雷码属于镜像码

always  @(wptr or winc)  
begin: Gray_inc
  integer i;
  for(i=0; i<=ADDRSIZE; i=i+1)
    wbin[i]= ^ (wptr>>i);      //grey to binary
  if (!wfull)
    wbnext = wbin+winc;      //FIFO
  else
    wbnext = wbin;
  wgnext=(wbnext>>1) ^ wbnext;  //binary to grey
end

 

FIFO满状态判断

除最高两位外都相同,前两位的异或值相同(2ndmsb),首位不同。

  wfull <= ((wgnext[ADDRSIZE] !==wrptr2[ADDRSIZE])&&(w_2ndmsb== wr_2ndmsb)
             && (wgnext[ADDRSIZE-2:0]== wrptr2[ADDRSIZE-2:0]));

 

亚稳态处理

多级寄存器同步,是一种方式,

https://www.cnblogs.com/xiaoxie2014/p/4149565.html

 

于斌,《Verilog HDL 数字系统设计及仿真》,电子工业,2018

 

标签:异步,binary,grey,FIFO,wbin,wfull,wbnext,日常
来源: https://www.cnblogs.com/bai2018/p/15683223.html

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有