ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

10,verilog移位操作

2021-10-10 19:31:29  阅读:374  来源: 互联网

标签:右移 10 符号 左移 verilog 1101 b1000 移位


博主的微信公众号:FPGA动力联盟

博主的个人微信:fpga_start

博客原文链接:verilog移位操作

Verilog中的移位操作有两类:逻辑移位和算术移位。

  1. 逻辑右移(>>):1个操作数向右移位,产生的空位用0填充;
  2. 逻辑左移(<<):1个操作数向左移位,产生的空位用0填充;
  3. 算术右移(>>>):1个操作数向右移位。如果是无符号数,则产生的空位用0填充;有符号数则用其符号位填充;
  4. 算数左移(<<<):1个操作数向左移位,产生的空位用0填充;

另外,需要注意不管是什么移位操作,移位的位数必须是常数值。对于移位的位数为变量的移位操作,需要一个叫做“筒形移位器的”结构来实现,涉及到了时序逻辑。

移位操作的例子如下:

表达式

结果

说明

8’b1000_1101 >> 8’d2

8’b0010_0011

无符号数逻辑右移

8’b1000_1101 << 8’d4

8’b1101_0000

无符号数逻辑左移

8’b1000_1101 << x,x为变量

语法错误

不可综合

8’b1000_1101 >>> 8’d2

8’b0010_0011

无符号数算术右移

8’sb1000_1101 >>> 8’d2

8’sb1110_0011

有符号数算术左移

8’b1000_1101 << 8’d4

8’b1101_0000

无符号数逻辑左移

8’sb1000_1101 << 8’d4

8’sb1101_0000

有符号数逻辑左移

另外,操作数左移n位相当于乘以2的n次幂,操作数右移n位相当于除以2的n次幂,这种特性在实际应用中非常有用!

参考文献:

1,verilog传奇——从电路出发的HDL代码设计

2,verilog编程艺术

标签:右移,10,符号,左移,verilog,1101,b1000,移位
来源: https://blog.csdn.net/m0_58064525/article/details/120690444

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有