ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

第三周选做MyOD

2021-09-26 20:31:36  阅读:117  来源: 互联网

标签:输出 选做 第三周 MyOD 内存 使用 字符串 指针 size


一、题目要求

  • 1、复习c文件处理内容
    2、编写myod.c 用myod XXX实现Linux下od -tc -tx XXX的功能
    3、main与其他分开,制作静态库和动态库
    4、编写Makefile
    5、提交测试代码和运行结果截图, 提交调试过程截图,要全屏,包含自己的学号信息
    6、在博客园发表一篇博客,重点写遇到的问题和解决过程

二、od命令

命令格式:

od [OPTION]... [FILE]... 

命令参数:

-t  :后面可以接各种类型 (TYPE)的输出,例如:

      a       :利用默认的字节来输出;

      c       :使用 ASCII 字节来输出

      d[size] :利用十进制(decimal)来输出数据,每个整数占用 size bytes ;

      f[size] :利用浮点数值(floating)来输出数据,每个数占用 size bytes ;

      o[size] :利用八进制(octal)来输出数据,每个整数占用 size bytes ;

      x[size] :利用十六进制(hexadecimal)来输出数据,每个整数占用 size bytes ;

其中本次作业中需要实现的是:
-tc:输出ASCII字符及反斜杠序列(如\n)
-tx:输出十六进制数
实现指令:myod -tc -tx XXX.txt

命令示例:

1.将/usr/bin/passwd的内容使用ASCII方式来输出

 

2.将/etc/issue这个文件的内容以八进制值与ASCII的对照表

 

 

 

asc.c

 

 

hex.c

 

 main.c

 

 

head.h

 

 目录查看

 

 

具体操作

 

 

遇到的问题:

段错误(核心已转储):未解决

查询资料原因可能为

1.内存访问越界

a) 由于使用错误的下标,导致数组访问越界

b) 搜索字符串时,依靠字符串结束符来判断字符串是否结束,但是字符串没有正常的使用结束符

c) 使用strcpy, strcat, sprintf, strcmp, strcasecmp等字符串操作函数,将目标字符串读/写爆。应该使用strncpy, strlcpy, strncat, strlcat, snprintf, strncmp, strncasecmp等函数防止读写越界。

2.多线程程序使用了线程不安全的函数

3.多线程读写的数据未加锁保护。对于会被多个线程同时访问的全局数据,应该注意加锁保护,否则很容易造成core dump

4.非法指针

a) 使用空指针

b) 随意使用指针转换。一个指向一段内存的指针,除非确定这段内存原先就分配为某种结构或类型,或者这种结构或类型的数组,否则不要将它转换为这种结构或类型 的指针,而应该将这段内存拷贝到一个这种结构或类型中,再访问这个结构或类型。这是因为如果这段内存的开始地址不是按照这种结构或类型对齐的,那么访问它 时就很容易因为bus error而core dump.

5 堆栈溢出.不要使用大的局部变量(因为局部变量都分配在栈上),这样容易造成堆栈溢出,破坏系统的栈和堆结构,导致出现莫名其妙的错误。

经过分析认为是4中使用了非法指针,但在检查函数的过程中,未能发现问题,后续的解决静待补充。

 

标签:输出,选做,第三周,MyOD,内存,使用,字符串,指针,size
来源: https://www.cnblogs.com/l993316381-/p/15333860.html

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有