ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

verilog 的几个波形任务函数

2021-09-20 16:59:37  阅读:312  来源: 互联网

标签:函数 波形 uut wave fsdb verilog xrun tb shm


目前正用到波形任务函数,先记录下,如果后面有了深入的理解回来补充:
xrun仿真工具要加的选项
+access+r
$dumpfile(“wave.vcd”);
$dumpvars(0,tb_uut);

xrun仿真工具要加的选项(需要知道fsdb的任务函数,加pli接口)
-loadpli1 debpli:novas_pli_boot
适用于verdi的
$fsdbDumpfile(“wave.fsdb”);
$fsdbDumpvars(0,tb_uut);

适用于simvision的
$shm_open(“wave.shm”);
$shm_probe(tb_uut,“ACMFT”);

标签:函数,波形,uut,wave,fsdb,verilog,xrun,tb,shm
来源: https://blog.csdn.net/weixin_42405645/article/details/120392885

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有