ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

MPLS实验

2021-09-11 15:59:37  阅读:201  来源: 互联网

标签:24 int ip mpls ldp MPLS 实验 0.0


 

 R1与R6扮演pc

2,3,4,5起MPLS和ospf

r1                        

int g0/0/1   ip add 12.1.1.1 24

ip route-static 0.0.0.0 0.0.0.0 12.1.1.2

 r2

int g0/0/0    ip add 12.1.1.2 24

int l0            ip add 2.2.2.2 24

int g 0/0/1    ip add 23.1.1.1 24

ospf 1 router-id 2.2.2.2

a 0

network  12.1.1.2 0.0.0.0

network  2.2.2.2 0.0.0.0

network  23.1.1.1 0.0.0.0

mpls  lsr-id 2.2.2.2

mpls

mpls ldp

int g 0/0/1     //标签号经过的接口       

mpls ldp

mpls         lsp-trigger all    //开启功能,将针对fib表中所有路由进行标签号的分配    

r3

int g 0/0/0     ip add 23.1.1.2 24

int l 0            ip add 3.3.3.3 24

int g 0/0/1     ip add 34.1.1.1 24

ospf 1 router-id 3.3.3.3

a 0

network 23.1.1.2 0.0.0.0

net   3.3.3.3 0.0.0.0

net  34.1.1.1 0.0.0.0

mpls lsr-id 3.3.3.3

mpls

mpls ldp

int g 0/0/0    mpls ldp

int g 0/0/1 mpls ldp

mpls ldp

mpls         lsp-trigger all    //开启功能,将针对fib表中所有路由进行标签号的分配

 r4 

int g 0/0/0    ip add 34.1.1.2 24

int l0            ip add 4.4.4.4 24

int g 0/0/1   ip add 45.1.1.1 24

ospf 1 router-id 4.4.4.4

a 0

net 34.1.1.2 0.0.0.0

net 4.4.4.4  0.0.0.0

net 45.1.1.1 0.0.0.0

mpls lsr-id 4.4.4.4

mpls

mpls ldp

int g 0/0/0   mpls ldp

int g 0/0/1   mpls ldp

mpls ldp

mpls         lsp-trigger all    //开启功能,将针对fib表中所有路由进行标签号的分配

 r5

int g 0/0/0    ip add 45.1.1.2 24

int  l0           ip add 5.5.5.5 24

int g 0/0/0    ip add 56.1.1.1 24

ospf 1 router-id 5.5.5.5

a 0

net 45.1.1.2 0.0.0.0

net 5.5.5.5 0.0.0.0

net 56.1.1.1 0.0.0.0

mpls lsr-id 5.5.5.5

mpls

mpls ldp

int g 0/0/0    mpls ldp

int g 0/0/1    mpls ldp

mpls ldp

mpls         lsp-trigger all    //开启功能,将针对fib表中所有路由进行标签号的分配

r6

int g 0/0/0    ip add 56.1.1.2 24

ip route-static 0.0.0.0 0.0.0.0  56.1.1.1

标签:24,int,ip,mpls,ldp,MPLS,实验,0.0
来源: https://blog.csdn.net/weixin_55652336/article/details/120237610

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有