ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

关于Quartus工程名与模块名问题

2021-08-25 11:59:39  阅读:147  来源: 互联网

标签:文件 顶层 工程 相同 Quartus 模块


工程文件名与模块.V文件的名可以不相同,但是顶层模块的模块的模块名一定要与工程名相同。可以通过如下方法将.V文件中的模块设置为顶层模块
在这里插入图片描述

标签:文件,顶层,工程,相同,Quartus,模块
来源: https://blog.csdn.net/MRcccccccc/article/details/119908147

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有